slang/ast/expressions/OperatorExpressions.h file

Definitions for operator expressions.

Namespaces

namespace slang
Root namespace.
namespace slang::ast
The SystemVerilog AST.

Classes

class slang::ast::UnaryExpression
Represents a unary operator expression.
class slang::ast::BinaryExpression
Represents a binary operator expression.
class slang::ast::ConditionalExpression
Represents a conditional operator expression.
struct slang::ast::ConditionalExpression::Condition
A condition.
class slang::ast::InsideExpression
Represents a set membership operator expression.
class slang::ast::ConcatenationExpression
Represents a concatenation expression.
class slang::ast::ReplicationExpression
Represents a replication expression.
class slang::ast::StreamingConcatenationExpression
Represents a streaming concatenation.
struct slang::ast::StreamingConcatenationExpression::StreamExpression
A single stream expression within the concatenation.
class slang::ast::ValueRangeExpression
Denotes a range of values by providing expressions for the lower and upper bounds of the range.