slang/ast/expressions/MiscExpressions.h file

Definitions for miscellaneous expressions.

Namespaces

namespace slang
Root namespace.
namespace slang::ast
The SystemVerilog AST.

Classes

class slang::ast::ValueExpressionBase
Common base class for both NamedValueExpression and HierarchicalValueExpression.
class slang::ast::NamedValueExpression
Represents an expression that references a named value.
class slang::ast::HierarchicalValueExpression
Represents an expression that references a named value via hierarchical path.
class slang::ast::DataTypeExpression
Adapts a data type for use in an expression tree.
class slang::ast::TypeReferenceExpression
An expression that gets the type of a nested expression using the type() operator.
class slang::ast::ArbitrarySymbolExpression
Adapts an arbitrary symbol reference for use in an expression tree.
class slang::ast::LValueReferenceExpression
A placeholder expression that is generated to take the place of one side of a compound assignment expression's binary operator.
class slang::ast::EmptyArgumentExpression
Represents an empty argument.
class slang::ast::ClockingEventExpression
Represents a clocking event expression.
class slang::ast::AssertionInstanceExpression
Represents an instance of an assertion item, either a sequence, a property, or a formal argument that is being referenced and expanded.
class slang::ast::MinTypMaxExpression
Represents a min:typ:max expression.
class slang::ast::CopyClassExpression
Represents a new expression that copies a class instance.
class slang::ast::DistExpression
Denotes an expression along with a distribution of probabilities for that expression.
struct slang::ast::DistExpression::DistWeight
A weight to apply to a distribution.
struct slang::ast::DistExpression::DistItem
A single distribution item.
class slang::ast::TaggedUnionExpression
Represents a tagged union member setter expression.