Classes
-
namespace slang Root namespace.
-
namespace assert Assertion-related utilities.
- class AssertionException An exception thrown when an ASSERT condition fails.
-
namespace ast The SystemVerilog AST.
- class AbortAssertionExpr Represents an abort (accept_on / reject_on) property expression.
- class ArbitrarySymbolExpression Adapts an arbitrary symbol reference for use in an expression tree.
-
class AssertionExpr The base class for assertion expressions (sequences and properties).
- struct NondegeneracyCheckResult A result structure for checking nondegeneracy.
- struct AssertionInstanceDetails Information required to instantiate a sequence, property, or checker instance.
- class AssertionInstanceExpression Represents an instance of an assertion item, either a sequence, a property, or a formal argument that is being referenced and expanded.
- class AssertionPortSymbol Represents a formal argument / port of an assertion construct, such as a sequence, property, or let construct.
- class AssignmentExpression Represents an assignment expression.
- class AssignmentPatternExpressionBase Base class for assignment pattern expressions.
- class AssociativeArrayType Represents an unpacked array that provides associative lookup.
-
class ASTContext Contains required context for binding syntax nodes with symbols to form an AST.
- struct RandomizeDetails A collection of information needed to bind names inside inline constraint blocks for class and scope randomize function calls.
- class ASTSerializer A class that serializes AST nodes to JSON.
- class ASTVisitor A base class for AST visitors.
- class BinaryAssertionExpr Represents a binary operator in a sequence or property expression.
- class BinaryExpression Represents a binary operator expression.
- struct BindDirectiveInfo Information about how a bind directive applies to some definition or specific target node.
- class Bitstream Provides utility methods for working with bitstream operations.
-
class BlockEventListControl Represents a list of block events (used within coverage events).
- struct Event A single block event.
- class BlockStatement Represents a sequential or parallel block statement.
- class BreakStatement Represents a break statement.
-
class CallExpression Represents a subroutine call.
- struct IteratorCallInfo Extra information associated with an iterator method call.
- struct RandomizeCallInfo Extra information associated with a randomize method call.
- struct SystemCallInfo Additional context that applies to system subroutine calls.
-
class CaseAssertionExpr Represents a case operator in a property expression.
- struct ItemGroup A group of items that match one case item.
-
class CaseStatement Represents a case statement.
- struct ItemGroup A group of items in a case statement.
- class CHandleType Represents storage for pointers passed using the DPI (a "C" compatible handle).
- class CheckerSymbol Represents a checker declaration.
- class ClassBuilder A helper class for constructing class types programmatically.
- class ClassType Represents a class definition type.
- class ClockingAssertionExpr Represents an assertion expression with attached clocking control.
- class ClockingBlockSymbol Represents a clocking block.
- class ClockingEventExpression Represents a clocking event expression.
- class ClockingSkew Represents a skew value that is applied to clocking block signals.
- class ClockVarSymbol Represents a clocking block signal.
-
class Compilation A centralized location for creating and caching symbols.
- struct DefinitionLookupResult A struct containing the result of a definition lookup.
- struct CompilationOptions Contains various options that can control compilation behavior.
- class CompilationUnitSymbol The root of a single compilation unit.
- class ConcatenationExpression Represents a concatenation expression.
- class ConcurrentAssertionStatement Represents a concurrent assertion statement.
- class ConditionalAssertionExpr Represents a conditional operator in a property expression.
- class ConditionalConstraint Represents a constraint defined by an if-else condition.
-
class ConditionalExpression Represents a conditional operator expression.
- struct Condition A condition.
-
class ConditionalStatement Represents a conditional statement.
- struct Condition A condition.
- class ConfigBlockSymbol Represents a config block declaration.
-
struct ConfigRule A rule that controls how a specific cell or instance in the design is configured.
- struct CellId Contains information about how to look up a specific cell for this rule.
- class ConstantPattern Reresents a pattern that matches a given constant expression.
- class Constraint The base class for all constraints in SystemVerilog.
- class ConstraintBlockSymbol Represents a named constraint block declaration within a class.
- class ConstraintList Represents a list of constraints.
- class ContinueStatement Represents a continue statement.
- class ContinuousAssignSymbol Represents a continuous assignment statement.
- class ConversionExpression Represents a type conversion expression (implicit or explicit).
- class CopyClassExpression Represents a
new
expression that copies a class instance. - class CoverCrossBodySymbol Represents the body of a cover cross type, separated out because the members of the cross body can't be accessed outside of the cross itself.
- class CovergroupBodySymbol Represents the body of a covergroup type, separated out because the arguments of a covergroup need to live in their own scope so that they can be shadowed by body members.
- class CovergroupType Represents a covergroup definition type.
- class CycleDelayControl Represents a cycle-based delay control.
- class DataTypeExpression Adapts a data type for use in an expression tree.
- class DeclaredType Ties together various syntax nodes that declare the type of some parent symbol along with the logic necessary to resolve that type.
-
class DefinitionSymbol Represents a module, interface, or program definition.
- struct ParameterDecl Information about a single parameter declaration.
- class DefParamSymbol Represents a defparam directive.
- class Delay3Control Represents multiple delays associated with a single gate primitive.
- class DelayControl Represents a delay time control.
- class DisableForkStatement Represents a
disable fork
statement. - class DisableIffAssertionExpr Represents a disable iff condition in a property spec.
- class DisableSoftConstraint Represents a constraint that disables a soft random variable.
- class DisableStatement Represents a disable statement.
-
class DistExpression Denotes an expression along with a distribution of probabilities for that expression.
- struct DistItem A single distribution item.
- struct DistWeight A weight to apply to a distribution.
- class DoWhileLoopStatement Represents a
do
while
loop statement. - class DPIOpenArrayType A special case for DPI imports that have "open array" typed arguments.
- class DynamicArrayType Represents a dynamically sized unpacked array.
- class ElabSystemTaskSymbol Represents an elaboration system task, such as $error or $warning.
- class ElementSelectExpression Represents a single element selection expression.
- class EmptyArgumentExpression Represents an empty argument.
- class EmptyMemberSymbol Represents an empty member, i.e.
- class EmptyStatement Represents an empty statement, used as a placeholder or an anchor for attributes.
- class EnumType Represents an enumerated type.
- class EnumValueSymbol Represents an enumerated value / member.
- class ErrorType An empty type symbol that indicates an error occurred while trying to resolve the type of some expression or declaration.
-
class EvalContext A container for all context required to evaluate a statement or expression.
- struct Frame Represents a single frame in the call stack.
- struct EvaluatedDimension The result of evaluating dimension syntax nodes.
- class EventListControl Represents a list of timing controls to wait on.
- class EventTriggerStatement Represents an event triggering statement.
- class EventType Represents a SystemVerilog event handle, which is used for synchronization between asynchronous processes.
- class ExplicitImportSymbol Represents an explicit import from a package.
- class Expression The base class for all expressions in SystemVerilog.
- class ExpressionConstraint Represents a constraint defined by a logical expression.
- class ExpressionStatement Represents an expression that is executed as a standalone statement.
- class FieldSymbol Represents a field member of a struct or union.
- class FirstMatchAssertionExpr Represents a first_match operator in a sequence expression.
- class FixedSizeUnpackedArrayType Represents a fixed size unpacked array (as opposed to a dynamically sized unpacked array, associative array, or queue).
- class FloatingType Represents one of the predefined floating point types, which are used for representing real numbers.
- class ForeachConstraint Represents a constraint that iterates over the elements of an array.
-
class ForeachLoopStatement Represents a
foreach
loop statement.- struct LoopDim Describes one dimension that will be iterated by the loop.
- class ForeverLoopStatement Represents a
forever
loop statement. - class ForLoopStatement Represents a
for
loop statement. - class FormalArgumentSymbol Represents a formal argument in subroutine (task or function).
- class ForwardingTypedefSymbol A forward declaration of a user-defined type name.
- class GenerateBlockArraySymbol Represents an array of generate blocks, as generated by a loop generate construct.
- class GenerateBlockSymbol Represents blocks that are instantiated by a loop generate or conditional generate construct.
- class GenericClassDefSymbol Represents a generic class definition, which is a parameterized class that has not yet had its parameter values specified.
- class GenvarSymbol Represents a genvar declaration.
-
class HierarchicalReference Represents a hierarchical reference to a symbol.
- struct Element An element in the hierarchical path.
- class HierarchicalValueExpression Represents an expression that references a named value via hierarchical path.
- struct HierarchyOverrideNode A node in a tree representing an instance in the design hierarchy where parameters should be overriden and/or bind directives should be applied.
- class ImmediateAssertionStatement Represents an immediate assertion statement.
- class ImplicationConstraint Represents a constraint defined by an implication.
- class ImplicitEventControl Represents an implicit event control (i.e. the @* construct).
- class InsideExpression Represents a set membership operator expression.
- class InstanceSymbol Represents an instance of a module, interface, or program.
- class InstanceSymbolBase Common functionality for module, interface, program, and primitive instances.
- class IntegerLiteral Represents an integer literal.
- class IntegralType A base class for integral types, which include all scalar types, predefined integer types, packed arrays, packed structures, packed unions, and enum types.
- class InterfacePortSymbol Represents the public-facing side of a module / program / interface port that is also a connection to an interface instance (optionally with a modport restriction).
- class InvalidAssertionExpr Represents an invalid expression.
- class InvalidConstraint Represents an invalid constraint.
- class InvalidExpression Represents an invalid expression.
- class InvalidPattern Represents an invalid pattern.
- class InvalidStatement Represents an invalid statement.
- class InvalidTimingControl Represents an invalid timing control.
- class IteratorSymbol Represents an iterator variable created for array manipulation methods.
- class LetDeclSymbol Represents a let declaration.
- class LocalAssertionVarSymbol Represents a local variable declared inside an assertion item, such as a sequence or property.
- class Lookup Centralized functionality for looking up symbols by name in the AST.
- class LookupLocation This type denotes the ordering of symbols within a particular scope, for the purposes of determining whether a found symbol is visible compared to the given location.
-
struct LookupResult A structure that contains the results of a name lookup operation.
- struct MemberSelector A structure that represents a selection of a single member from the resulting symbol found during a lookup operation.
-
class LValue A representation of an lvalue used for constant evaluation.
- struct Concat A concatenation of lvalues is also an lvalue and can be assigned to.
- class LValueReferenceExpression A placeholder expression that is generated to take the place of one side of a compound assignment expression's binary operator.
- class MemberAccessExpression Represents an access of a structure variable's members.
- class MethodBuilder A helper class for constructing method symbols programmatically.
- class MinTypMaxExpression Represents a min:typ:max expression.
- class ModportClockingSymbol Represents a clocking block port.
- class ModportPortSymbol Represents a single port specifier in a modport declaration.
- class ModportSymbol Represents a modport within an interface definition.
- class MultiPortSymbol Represents a multi-port, which is a port symbol that externally appears as a single connection but internally connects to multiple names, potentially with varying directions.
- class NamedValueExpression Represents an expression that references a named value.
- class NetSymbol Represents a net declaration.
- class NetType Base class for all net types in SystemVerilog.
- class NewArrayExpression Represents a new[] expression that creates a dynamic array.
- class NewClassExpression Represents a
new
expression that creates a class instance. - class NewCovergroupExpression Represents a
new
expression that creates a covergroup instance. - class NonConstantFunction An implementation of the SystemSubroutine interface that is also a "simple" subroutine that is also not allowed in constant contexts.
- class NullLiteral Represents a null literal.
- class NullType Represents the Null type.
- class OneStepDelayControl Represents the built-in
1step
delay. -
class OpaqueInstancePath Represents a path to a specific instance in a design.
- class Entry An entry in the path.
- class PackageSymbol A SystemVerilog package construct.
- class PackedArrayType Represents a packed array of some simple element type (vectors, packed structures, other packed arrays).
- class PackedStructType Represents a packed structure of members.
- class PackedUnionType Represents a packed union of members.
- class ParameterSymbol Represents a parameter value.
- class Pattern Base class for "patterns", as used in pattern matching conditional statements and expressions.
-
class PatternCaseStatement Represents a pattern case statement.
- struct ItemGroup A group of items in a pattern case statement.
- class PatternVarSymbol Represents a pattern variable materialized for a pattern matching expression.
- class PortSymbol Represents the public-facing side of a module / program / interface port.
- class PredefinedIntegerType Represents the predefined integer types, which are essentially predefined vector types.
- class ProceduralAssignStatement Represents a procedural
assign
statement. - class ProceduralCheckerStatement Represents a procedural checker instantiation statement.
- class ProceduralDeassignStatement Represents a procedural
deassign
statement. - class PropertySymbol Represents a named property object.
- class PropertyType Represents the type of property instances and arguments.
- class QueueType Represents an unpacked array that provides queue semantics.
-
class RandCaseStatement Represents a
randcase
statement.- struct Item An item in the randcase list.
- class RandSequenceStatement Represents a
randsequence
statement. - class RangeSelectExpression Represents a range selection expression.
- class RealLiteral Represents a real number literal.
- class RepeatedEventControl Represents a
repeat
event control. - class RepeatLoopStatement Represents a
repeat
loop statement. - class ReplicatedAssignmentPatternExpression Represents a replicated assignment pattern expression.
- class ReplicationExpression Represents a replication expression.
- struct ResolvedConfig Contains information about a resolved configuration rule that affects an instance and the hierarchy underneath it.
- class ReturnStatement Represents a return statement.
- class RootSymbol Represents the entirety of a design, along with all contained compilation units.
- class ScalarType Represents the single-bit scalar types.
-
class Scope Base class for symbols that represent a name scope; that is, they contain children and can participate in name lookup.
- class iterator An iterator for members in the scope.
- class specific_symbol_iterator An iterator for members in the scope of the specified type.
- class WildcardImportData Collection of information about wildcard imports in a scope.
- class ScriptSession A helper class that allows evaluating arbitrary snippets of SystemVerilog source code and maintaining state across multiple eval calls.
- class SemanticFacts A helper class that can extract semantic AST information from tokens and syntax nodes.
-
class SequenceConcatExpr Represents an assertion expression defined as a delayed concatenation of other expressions.
- struct Element An element of a sequence concatenation.
- struct SequenceRange Represents a range of potential sequence matches.
- struct SequenceRepetition Encodes a repetition of some sub-sequence.
- class SequenceSymbol Represents a named sequence object.
- class SequenceType Represents the type of sequence instances and arguments.
- class SequenceWithMatchExpr Represents a sequence expression along with a list of actions to perform upon matching and/or instructions for repetition.
- class SignalEventControl Represents a signal event control.
- class SimpleAssertionExpr Represents an assertion expression defined as a simple regular expression.
- class SimpleAssignmentPatternExpression Represents a simple assignment pattern expression.
- class SimpleSystemSubroutine An implementation of the SystemSubroutine interface that has basic argument types and a well-defined return type.
- class SolveBeforeConstraint Represents a constraint that enforces ordering of solving variables.
- class SpecparamSymbol Represents a specify parameter.
-
class Statement The base class for all statements in SystemVerilog.
- struct StatementContext Additional information passed along during statement creation.
- class StatementList Represents a list of statements.
-
class StreamingConcatenationExpression Represents a streaming concatenation.
- struct StreamExpression A single stream expression within the concatenation.
- class StringLiteral Represents a string literal.
- class StringType Represents the built-in ASCII string type.
- class StrongWeakAssertionExpr Represents a strong or weak operator in a property expression.
- class StructBuilder A helper class for constructing struct types programmatically.
-
class StructuredAssignmentPatternExpression Represents a structured assignment pattern expression.
- struct IndexSetter A setter for a specific array index.
- struct MemberSetter A setter for a specific type member.
- struct TypeSetter A setter for a specific type.
-
class StructurePattern Represents a pattern that matches a structure.
- struct FieldPattern A pattern over a struct field.
- class SubroutineSymbol Represents a subroutine (task or function).
- class Symbol Base class for all symbols (logical code constructs) such as modules, types, functions, variables, etc.
- class SystemSubroutine The base class for built-in system subroutines.
- class TaggedPattern Represents a pattern that matches a member of a tagged union.
- class TaggedUnionExpression Represents a tagged union member setter expression.
- class TempVarSymbol Represents a temporary variable materialized within a limited scope such as a single expression.
- class TimedStatement Represents a statement that has an associated timing control.
- class TimeLiteral Represents a time literal.
- class TimingControl The base class for SystemVerilog timing controls (delay, event, etc).
- class TransparentMemberSymbol A class that wraps a hoisted transparent type member, such as an enum value or a symbol inherited from a base class, into a scope.
- class Type Base class for all data types in SystemVerilog.
- class TypeAliasType Represents a type alias, which is introduced via a typedef or type parameter.
- class TypeArgFormatter A diagnostic argument formatter specifically for formatting types.
- class TypePrinter A utility class that prints a SystemVerilog type to a string.
- struct TypePrintingOptions A collection of type printing options.
- class TypeReferenceExpression An expression that gets the type of a nested expression using the type() operator.
- class TypeRefType Represents the result of a type reference expression, i.e. the type() operator.
- class UnaryAssertionExpr Represents a unary operator in a property expression.
- class UnaryExpression Represents a unary operator expression.
- class UnbasedUnsizedIntegerLiteral Represents an unbased unsized integer literal, which fills all bits in an expression.
- class UnboundedLiteral Represents the unbounded queue or range literal.
- class UnboundedType Represents the '$' special token that is a standin for the unbounded end of a queue or range selection.
- class UninstantiatedDefSymbol Represents an instance of a definition (module / interface / program / checker) that is not actually instantiated in the design.
- class UniquenessConstraint Represents a constraint that enforces uniqueness of variables.
- class UnpackedStructType Represents an unpacked structure of members.
- class UnpackedUnionType Represents an unpacked union of members.
- class UntypedType Represents an 'untyped' type, which is used for e.g. arguments of sequences.
- class ValueDriver Represents an expression that drives a value by assigning to some range of its type.
- class ValueExpressionBase Common base class for both NamedValueExpression and HierarchicalValueExpression.
- class ValueRangeExpression Denotes a range of values by providing expressions for the lower and upper bounds of the range.
- class ValueSymbol A base class for symbols that represent a value (for example a variable or a parameter).
- class VariableDeclStatement Represents a variable declaration in a statement context.
- class VariablePattern Represents a pattern that stores its match in a pattern variable.
- class VariableSymbol Represents a variable declaration.
- class VirtualInterfaceType Represents a virtual interface type.
- class VoidType Represents the Void (or lack of a) type.
- class WaitForkStatement Represents a
wait fork
statement. - class WaitOrderStatement Represents a
wait_order
statement. - class WaitStatement Represents a
wait
statement. - class WhileLoopStatement Represents a
while
loop statement. - class WildcardImportSymbol Represents a wildcard import declaration.
- class WildcardPattern Represents a wildcard pattern that matches anything.
-
namespace driver Frontend tool driver.
-
class Driver A top-level class that handles argument parsing, option preparation, and invoking various parts of the slang compilation process.
- struct Options A container for various options that can be parsed and applied to the compilation process.
- class SourceLoader Handles loading and parsing of groups of source files.
- struct SourceOptions Specifies options used when loading source files.
-
class Driver A top-level class that handles argument parsing, option preparation, and invoking various parts of the slang compilation process.
-
namespace parsing Lexing, preprocessing, and parsing functionality.
- class Lexer The Lexer is responsible for taking source text and chopping it up into tokens.
- struct LexerOptions Contains various options that can control lexing behavior.
- struct NumericTokenFlags Various flags for numeric tokens.
- class Parser Implements a full syntax parser for SystemVerilog.
-
class ParserBase Base class for the Parser, which contains helpers and language-agnostic parsing routines.
- class Window Helper class that maintains a sliding window of tokens, with lookahead.
-
struct ParserMetadata Various bits of metadata collected during parsing.
- struct Node Collection of metadata that can be associated with a syntax node at parse time.
- struct ParserOptions Contains various options that can control parsing behavior.
- class Preprocessor Preprocessor - Interface between lexer and parser.
- struct PreprocessorOptions Contains various options that can control preprocessing behavior.
- class Token Represents a single lexed token, including leading trivia, original location, token kind, and any related information derived from the token itself (such as the lexeme).
- class Trivia The Trivia class holds on to a piece of source text that should otherwise not turn into a token; for example, a preprocessor directive, a line continuation character, or a comment.
- namespace SFormat Utility methods for formatting strings using SystemVerilog formatting styles.
-
namespace syntax Syntax tree manipulation.
- struct AcceptOnPropertyExprSyntax Concrete syntax definition for an AcceptOnPropertyExpr.
- struct ActionBlockSyntax Concrete syntax definition for an ActionBlock.
- struct AnonymousProgramSyntax Concrete syntax definition for an AnonymousProgram.
- struct AnsiPortListSyntax Concrete syntax definition for an AnsiPortList.
- struct AnsiUdpPortListSyntax Concrete syntax definition for an AnsiUdpPortList.
- struct ArgumentListSyntax Concrete syntax definition for an ArgumentList.
- struct ArgumentSyntax Concrete syntax definition for an Argument.
- struct ArrayOrRandomizeMethodExpressionSyntax Concrete syntax definition for an ArrayOrRandomizeMethodExpression.
- struct AssertionItemPortListSyntax Concrete syntax definition for an AssertionItemPortList.
- struct AssertionItemPortSyntax Concrete syntax definition for an AssertionItemPort.
- struct AssignmentPatternExpressionSyntax Concrete syntax definition for an AssignmentPatternExpression.
- struct AssignmentPatternItemSyntax Concrete syntax definition for an AssignmentPatternItem.
- struct AssignmentPatternSyntax Concrete syntax definition for an AssignmentPattern.
- struct AttributeInstanceSyntax Concrete syntax definition for an AttributeInstance.
- struct AttributeSpecSyntax Concrete syntax definition for an AttributeSpec.
- struct BadExpressionSyntax Concrete syntax definition for a BadExpression.
- struct BeginKeywordsDirectiveSyntax Concrete syntax definition for a BeginKeywordsDirective.
- struct BinaryBinsSelectExprSyntax Concrete syntax definition for a BinaryBinsSelectExpr.
- struct BinaryBlockEventExpressionSyntax Concrete syntax definition for a BinaryBlockEventExpression.
- struct BinaryConditionalDirectiveExpressionSyntax Concrete syntax definition for a BinaryConditionalDirectiveExpression.
- struct BinaryEventExpressionSyntax Concrete syntax definition for a BinaryEventExpression.
- struct BinaryExpressionSyntax Concrete syntax definition for a BinaryExpression.
- struct BinaryPropertyExprSyntax Concrete syntax definition for a BinaryPropertyExpr.
- struct BinarySequenceExprSyntax Concrete syntax definition for a BinarySequenceExpr.
- struct BindDirectiveSyntax Concrete syntax definition for a BindDirective.
- struct BindTargetListSyntax Concrete syntax definition for a BindTargetList.
- struct BinSelectWithFilterExprSyntax Concrete syntax definition for a BinSelectWithFilterExpr.
- struct BinsSelectConditionExprSyntax Concrete syntax definition for a BinsSelectConditionExpr.
- struct BinsSelectExpressionSyntax Concrete syntax definition for a BinsSelectExpression.
- struct BinsSelectionSyntax Concrete syntax definition for a BinsSelection.
- struct BitSelectSyntax Concrete syntax definition for a BitSelect.
- struct BlockCoverageEventSyntax Concrete syntax definition for a BlockCoverageEvent.
- struct BlockEventExpressionSyntax Concrete syntax definition for a BlockEventExpression.
- struct BlockStatementSyntax Concrete syntax definition for a BlockStatement.
- struct CaseGenerateSyntax Concrete syntax definition for a CaseGenerate.
- struct CaseItemSyntax Concrete syntax definition for a CaseItem.
- struct CasePropertyExprSyntax Concrete syntax definition for a CasePropertyExpr.
- struct CaseStatementSyntax Concrete syntax definition for a CaseStatement.
- struct CastExpressionSyntax Concrete syntax definition for a CastExpression.
- struct CellConfigRuleSyntax Concrete syntax definition for a CellConfigRule.
- struct ChargeStrengthSyntax Concrete syntax definition for a ChargeStrength.
- struct CheckerDataDeclarationSyntax Concrete syntax definition for a CheckerDataDeclaration.
- struct CheckerDeclarationSyntax Concrete syntax definition for a CheckerDeclaration.
- struct CheckerInstanceStatementSyntax Concrete syntax definition for a CheckerInstanceStatement.
- struct CheckerInstantiationSyntax Concrete syntax definition for a CheckerInstantiation.
- struct ClassDeclarationSyntax Concrete syntax definition for a ClassDeclaration.
- struct ClassMethodDeclarationSyntax Concrete syntax definition for a ClassMethodDeclaration.
- struct ClassMethodPrototypeSyntax Concrete syntax definition for a ClassMethodPrototype.
- struct ClassNameSyntax Concrete syntax definition for a ClassName.
- struct ClassPropertyDeclarationSyntax Concrete syntax definition for a ClassPropertyDeclaration.
- struct ClassSpecifierSyntax Concrete syntax definition for a ClassSpecifier.
- struct ClockingDeclarationSyntax Concrete syntax definition for a ClockingDeclaration.
- struct ClockingDirectionSyntax Concrete syntax definition for a ClockingDirection.
- struct ClockingItemSyntax Concrete syntax definition for a ClockingItem.
- struct ClockingPropertyExprSyntax Concrete syntax definition for a ClockingPropertyExpr.
- struct ClockingSequenceExprSyntax Concrete syntax definition for a ClockingSequenceExpr.
- struct ClockingSkewSyntax Concrete syntax definition for a ClockingSkew.
- struct ColonExpressionClauseSyntax Concrete syntax definition for a ColonExpressionClause.
- struct CompilationUnitSyntax Concrete syntax definition for a CompilationUnit.
- struct ConcatenationExpressionSyntax Concrete syntax definition for a ConcatenationExpression.
- struct ConcurrentAssertionMemberSyntax Concrete syntax definition for a ConcurrentAssertionMember.
- struct ConcurrentAssertionStatementSyntax Concrete syntax definition for a ConcurrentAssertionStatement.
- struct ConditionalBranchDirectiveSyntax Concrete syntax definition for a ConditionalBranchDirective.
- struct ConditionalConstraintSyntax Concrete syntax definition for a ConditionalConstraint.
- struct ConditionalDirectiveExpressionSyntax Concrete syntax definition for a ConditionalDirectiveExpression.
- struct ConditionalExpressionSyntax Concrete syntax definition for a ConditionalExpression.
- struct ConditionalPathDeclarationSyntax Concrete syntax definition for a ConditionalPathDeclaration.
- struct ConditionalPatternSyntax Concrete syntax definition for a ConditionalPattern.
- struct ConditionalPredicateSyntax Concrete syntax definition for a ConditionalPredicate.
- struct ConditionalPropertyExprSyntax Concrete syntax definition for a ConditionalPropertyExpr.
- struct ConditionalStatementSyntax Concrete syntax definition for a ConditionalStatement.
- struct ConfigCellIdentifierSyntax Concrete syntax definition for a ConfigCellIdentifier.
- struct ConfigDeclarationSyntax Concrete syntax definition for a ConfigDeclaration.
- struct ConfigInstanceIdentifierSyntax Concrete syntax definition for a ConfigInstanceIdentifier.
- struct ConfigLiblistSyntax Concrete syntax definition for a ConfigLiblist.
- struct ConfigRuleClauseSyntax Concrete syntax definition for a ConfigRuleClause.
- struct ConfigRuleSyntax Concrete syntax definition for a ConfigRule.
- struct ConfigUseClauseSyntax Concrete syntax definition for a ConfigUseClause.
- struct ConstraintBlockSyntax Concrete syntax definition for a ConstraintBlock.
- struct ConstraintDeclarationSyntax Concrete syntax definition for a ConstraintDeclaration.
- struct ConstraintItemSyntax Concrete syntax definition for a ConstraintItem.
- struct ConstraintPrototypeSyntax Concrete syntax definition for a ConstraintPrototype.
- struct ConstTokenOrSyntax A token or a constant syntax node.
- struct ContinuousAssignSyntax Concrete syntax definition for a ContinuousAssign.
- struct CopyClassExpressionSyntax Concrete syntax definition for a CopyClassExpression.
- struct CoverageBinInitializerSyntax Concrete syntax definition for a CoverageBinInitializer.
- struct CoverageBinsArraySizeSyntax Concrete syntax definition for a CoverageBinsArraySize.
- struct CoverageBinsSyntax Concrete syntax definition for a CoverageBins.
- struct CoverageIffClauseSyntax Concrete syntax definition for a CoverageIffClause.
- struct CoverageOptionSyntax Concrete syntax definition for a CoverageOption.
- struct CoverCrossSyntax Concrete syntax definition for a CoverCross.
- struct CovergroupDeclarationSyntax Concrete syntax definition for a CovergroupDeclaration.
- struct CoverpointSyntax Concrete syntax definition for a Coverpoint.
- struct DataDeclarationSyntax Concrete syntax definition for a DataDeclaration.
- struct DataTypeSyntax Concrete syntax definition for a DataType.
- struct DeclaratorSyntax Concrete syntax definition for a Declarator.
- struct DefaultCaseItemSyntax Concrete syntax definition for a DefaultCaseItem.
- struct DefaultClockingReferenceSyntax Concrete syntax definition for a DefaultClockingReference.
- struct DefaultConfigRuleSyntax Concrete syntax definition for a DefaultConfigRule.
- struct DefaultCoverageBinInitializerSyntax Concrete syntax definition for a DefaultCoverageBinInitializer.
- struct DefaultDecayTimeDirectiveSyntax Concrete syntax definition for a DefaultDecayTimeDirective.
- struct DefaultDisableDeclarationSyntax Concrete syntax definition for a DefaultDisableDeclaration.
- struct DefaultDistItemSyntax Concrete syntax definition for a DefaultDistItem.
- struct DefaultExtendsClauseArgSyntax Concrete syntax definition for a DefaultExtendsClauseArg.
- struct DefaultFunctionPortSyntax Concrete syntax definition for a DefaultFunctionPort.
- struct DefaultNetTypeDirectiveSyntax Concrete syntax definition for a DefaultNetTypeDirective.
- struct DefaultPropertyCaseItemSyntax Concrete syntax definition for a DefaultPropertyCaseItem.
- struct DefaultRsCaseItemSyntax Concrete syntax definition for a DefaultRsCaseItem.
- struct DefaultSkewItemSyntax Concrete syntax definition for a DefaultSkewItem.
- struct DefaultTriregStrengthDirectiveSyntax Concrete syntax definition for a DefaultTriregStrengthDirective.
- struct DeferredAssertionSyntax Concrete syntax definition for a DeferredAssertion.
- class DeferredSourceRange Represents a source range or a way to get one by materializing it from a syntax node.
- struct DefineDirectiveSyntax Concrete syntax definition for a DefineDirective.
- struct DefParamAssignmentSyntax Concrete syntax definition for a DefParamAssignment.
- struct DefParamSyntax Concrete syntax definition for a DefParam.
- struct Delay3Syntax Concrete syntax definition for a Delay3.
- struct DelayedSequenceElementSyntax Concrete syntax definition for a DelayedSequenceElement.
- struct DelayedSequenceExprSyntax Concrete syntax definition for a DelayedSequenceExpr.
- struct DelaySyntax Concrete syntax definition for a Delay.
- struct DimensionSpecifierSyntax Concrete syntax definition for a DimensionSpecifier.
- struct DirectiveSyntax Concrete syntax definition for a Directive.
- struct DisableConstraintSyntax Concrete syntax definition for a DisableConstraint.
- struct DisableForkStatementSyntax Concrete syntax definition for a DisableForkStatement.
- struct DisableIffSyntax Concrete syntax definition for a DisableIff.
- struct DisableStatementSyntax Concrete syntax definition for a DisableStatement.
- struct DistConstraintListSyntax Concrete syntax definition for a DistConstraintList.
- struct DistItemBaseSyntax Concrete syntax definition for a DistItemBase.
- struct DistItemSyntax Concrete syntax definition for a DistItem.
- struct DistWeightSyntax Concrete syntax definition for a DistWeight.
- struct DividerClauseSyntax Concrete syntax definition for a DividerClause.
- struct DotMemberClauseSyntax Concrete syntax definition for a DotMemberClause.
- struct DoWhileStatementSyntax Concrete syntax definition for a DoWhileStatement.
- struct DPIExportSyntax Concrete syntax definition for a DPIExport.
- struct DPIImportSyntax Concrete syntax definition for a DPIImport.
- struct DriveStrengthSyntax Concrete syntax definition for a DriveStrength.
- struct EdgeControlSpecifierSyntax Concrete syntax definition for an EdgeControlSpecifier.
- struct EdgeDescriptorSyntax Concrete syntax definition for an EdgeDescriptor.
- struct EdgeSensitivePathSuffixSyntax Concrete syntax definition for an EdgeSensitivePathSuffix.
- struct ElabSystemTaskSyntax Concrete syntax definition for an ElabSystemTask.
- struct ElementSelectExpressionSyntax Concrete syntax definition for an ElementSelectExpression.
- struct ElementSelectSyntax Concrete syntax definition for an ElementSelect.
- struct ElseClauseSyntax Concrete syntax definition for an ElseClause.
- struct ElseConstraintClauseSyntax Concrete syntax definition for an ElseConstraintClause.
- struct ElsePropertyClauseSyntax Concrete syntax definition for an ElsePropertyClause.
- struct EmptyArgumentSyntax Concrete syntax definition for an EmptyArgument.
- struct EmptyIdentifierNameSyntax Concrete syntax definition for an EmptyIdentifierName.
- struct EmptyMemberSyntax Concrete syntax definition for an EmptyMember.
- struct EmptyNonAnsiPortSyntax Concrete syntax definition for an EmptyNonAnsiPort.
- struct EmptyPortConnectionSyntax Concrete syntax definition for an EmptyPortConnection.
- struct EmptyQueueExpressionSyntax Concrete syntax definition for an EmptyQueueExpression.
- struct EmptyStatementSyntax Concrete syntax definition for an EmptyStatement.
- struct EmptyTimingCheckArgSyntax Concrete syntax definition for an EmptyTimingCheckArg.
- struct EnumTypeSyntax Concrete syntax definition for an EnumType.
- struct EqualsAssertionArgClauseSyntax Concrete syntax definition for an EqualsAssertionArgClause.
- struct EqualsTypeClauseSyntax Concrete syntax definition for an EqualsTypeClause.
- struct EqualsValueClauseSyntax Concrete syntax definition for an EqualsValueClause.
- struct EventControlSyntax Concrete syntax definition for an EventControl.
- struct EventControlWithExpressionSyntax Concrete syntax definition for an EventControlWithExpression.
- struct EventExpressionSyntax Concrete syntax definition for an EventExpression.
- struct EventTriggerStatementSyntax Concrete syntax definition for an EventTriggerStatement.
- struct ExplicitAnsiPortSyntax Concrete syntax definition for an ExplicitAnsiPort.
- struct ExplicitNonAnsiPortSyntax Concrete syntax definition for an ExplicitNonAnsiPort.
- struct ExpressionConstraintSyntax Concrete syntax definition for an ExpressionConstraint.
- struct ExpressionCoverageBinInitializerSyntax Concrete syntax definition for an ExpressionCoverageBinInitializer.
- struct ExpressionOrDistSyntax Concrete syntax definition for an ExpressionOrDist.
- struct ExpressionPatternSyntax Concrete syntax definition for an ExpressionPattern.
- struct ExpressionStatementSyntax Concrete syntax definition for an ExpressionStatement.
- struct ExpressionSyntax Concrete syntax definition for an Expression.
- struct ExpressionTimingCheckArgSyntax Concrete syntax definition for an ExpressionTimingCheckArg.
- struct ExtendsClauseSyntax Concrete syntax definition for an ExtendsClause.
- struct ExternInterfaceMethodSyntax Concrete syntax definition for an ExternInterfaceMethod.
- struct ExternModuleDeclSyntax Concrete syntax definition for an ExternModuleDecl.
- struct ExternUdpDeclSyntax Concrete syntax definition for an ExternUdpDecl.
- struct FilePathSpecSyntax Concrete syntax definition for a FilePathSpec.
- struct FirstMatchSequenceExprSyntax Concrete syntax definition for a FirstMatchSequenceExpr.
- struct ForeachLoopListSyntax Concrete syntax definition for a ForeachLoopList.
- struct ForeachLoopStatementSyntax Concrete syntax definition for a ForeachLoopStatement.
- struct ForeverStatementSyntax Concrete syntax definition for a ForeverStatement.
- struct ForLoopStatementSyntax Concrete syntax definition for a ForLoopStatement.
- struct ForVariableDeclarationSyntax Concrete syntax definition for a ForVariableDeclaration.
- struct ForwardTypedefDeclarationSyntax Concrete syntax definition for a ForwardTypedefDeclaration.
- struct ForwardTypeRestrictionSyntax Concrete syntax definition for a ForwardTypeRestriction.
- struct FunctionDeclarationSyntax Concrete syntax definition for a FunctionDeclaration.
- struct FunctionPortBaseSyntax Concrete syntax definition for a FunctionPortBase.
- struct FunctionPortListSyntax Concrete syntax definition for a FunctionPortList.
- struct FunctionPortSyntax Concrete syntax definition for a FunctionPort.
- struct FunctionPrototypeSyntax Concrete syntax definition for a FunctionPrototype.
- struct GenerateBlockSyntax Concrete syntax definition for a GenerateBlock.
- struct GenerateRegionSyntax Concrete syntax definition for a GenerateRegion.
- struct GenvarDeclarationSyntax Concrete syntax definition for a GenvarDeclaration.
- struct HierarchicalInstanceSyntax Concrete syntax definition for a HierarchicalInstance.
- struct HierarchyInstantiationSyntax Concrete syntax definition for a HierarchyInstantiation.
- struct IdentifierNameSyntax Concrete syntax definition for an IdentifierName.
- struct IdentifierSelectNameSyntax Concrete syntax definition for an IdentifierSelectName.
- struct IdWithExprCoverageBinInitializerSyntax Concrete syntax definition for an IdWithExprCoverageBinInitializer.
- struct IffEventClauseSyntax Concrete syntax definition for an IffEventClause.
- struct IfGenerateSyntax Concrete syntax definition for an IfGenerate.
- struct IfNonePathDeclarationSyntax Concrete syntax definition for an IfNonePathDeclaration.
- struct ImmediateAssertionMemberSyntax Concrete syntax definition for an ImmediateAssertionMember.
- struct ImmediateAssertionStatementSyntax Concrete syntax definition for an ImmediateAssertionStatement.
- struct ImplementsClauseSyntax Concrete syntax definition for an ImplementsClause.
- struct ImplicationConstraintSyntax Concrete syntax definition for an ImplicationConstraint.
- struct ImplicitAnsiPortSyntax Concrete syntax definition for an ImplicitAnsiPort.
- struct ImplicitEventControlSyntax Concrete syntax definition for an ImplicitEventControl.
- struct ImplicitNonAnsiPortSyntax Concrete syntax definition for an ImplicitNonAnsiPort.
- struct ImplicitTypeSyntax Concrete syntax definition for an ImplicitType.
- struct IncludeDirectiveSyntax Concrete syntax definition for an IncludeDirective.
- struct InsideExpressionSyntax Concrete syntax definition for an InsideExpression.
- struct InstanceConfigRuleSyntax Concrete syntax definition for an InstanceConfigRule.
- struct InstanceNameSyntax Concrete syntax definition for an InstanceName.
- struct IntegerTypeSyntax Concrete syntax definition for an IntegerType.
- struct IntegerVectorExpressionSyntax Concrete syntax definition for an IntegerVectorExpression.
- struct InterfacePortHeaderSyntax Concrete syntax definition for an InterfacePortHeader.
- struct IntersectClauseSyntax Concrete syntax definition for an IntersectClause.
- struct InvocationExpressionSyntax Concrete syntax definition for an InvocationExpression.
- struct JumpStatementSyntax Concrete syntax definition for a JumpStatement.
- struct KeywordNameSyntax Concrete syntax definition for a KeywordName.
- struct KeywordTypeSyntax Concrete syntax definition for a KeywordType.
- struct LetDeclarationSyntax Concrete syntax definition for a LetDeclaration.
- struct LibraryDeclarationSyntax Concrete syntax definition for a LibraryDeclaration.
- struct LibraryIncDirClauseSyntax Concrete syntax definition for a LibraryIncDirClause.
- struct LibraryIncludeStatementSyntax Concrete syntax definition for a LibraryIncludeStatement.
- struct LibraryMapSyntax Concrete syntax definition for a LibraryMap.
- struct LineDirectiveSyntax Concrete syntax definition for a LineDirective.
- struct LiteralExpressionSyntax Concrete syntax definition for a LiteralExpression.
- struct LocalVariableDeclarationSyntax Concrete syntax definition for a LocalVariableDeclaration.
- struct LoopConstraintSyntax Concrete syntax definition for a LoopConstraint.
- struct LoopGenerateSyntax Concrete syntax definition for a LoopGenerate.
- struct LoopStatementSyntax Concrete syntax definition for a LoopStatement.
- struct MacroActualArgumentListSyntax Concrete syntax definition for a MacroActualArgumentList.
- struct MacroActualArgumentSyntax Concrete syntax definition for a MacroActualArgument.
- struct MacroArgumentDefaultSyntax Concrete syntax definition for a MacroArgumentDefault.
- struct MacroFormalArgumentListSyntax Concrete syntax definition for a MacroFormalArgumentList.
- struct MacroFormalArgumentSyntax Concrete syntax definition for a MacroFormalArgument.
- struct MacroUsageSyntax Concrete syntax definition for a MacroUsage.
- struct MatchesClauseSyntax Concrete syntax definition for a MatchesClause.
- struct MemberAccessExpressionSyntax Concrete syntax definition for a MemberAccessExpression.
- struct MemberSyntax Concrete syntax definition for a Member.
- struct MinTypMaxExpressionSyntax Concrete syntax definition for a MinTypMaxExpression.
- struct ModportClockingPortSyntax Concrete syntax definition for a ModportClockingPort.
- struct ModportDeclarationSyntax Concrete syntax definition for a ModportDeclaration.
- struct ModportExplicitPortSyntax Concrete syntax definition for a ModportExplicitPort.
- struct ModportItemSyntax Concrete syntax definition for a ModportItem.
- struct ModportNamedPortSyntax Concrete syntax definition for a ModportNamedPort.
- struct ModportPortSyntax Concrete syntax definition for a ModportPort.
- struct ModportSimplePortListSyntax Concrete syntax definition for a ModportSimplePortList.
- struct ModportSubroutinePortListSyntax Concrete syntax definition for a ModportSubroutinePortList.
- struct ModportSubroutinePortSyntax Concrete syntax definition for a ModportSubroutinePort.
- struct ModuleDeclarationSyntax Concrete syntax definition for a ModuleDeclaration.
- struct ModuleHeaderSyntax Concrete syntax definition for a ModuleHeader.
- struct MultipleConcatenationExpressionSyntax Concrete syntax definition for a MultipleConcatenationExpression.
- struct NamedArgumentSyntax Concrete syntax definition for a NamedArgument.
- struct NamedBlockClauseSyntax Concrete syntax definition for a NamedBlockClause.
- struct NamedConditionalDirectiveExpressionSyntax Concrete syntax definition for a NamedConditionalDirectiveExpression.
- struct NamedLabelSyntax Concrete syntax definition for a NamedLabel.
- struct NamedParamAssignmentSyntax Concrete syntax definition for a NamedParamAssignment.
- struct NamedPortConnectionSyntax Concrete syntax definition for a NamedPortConnection.
- struct NamedStructurePatternMemberSyntax Concrete syntax definition for a NamedStructurePatternMember.
- struct NamedTypeSyntax Concrete syntax definition for a NamedType.
- struct NameSyntax Concrete syntax definition for a Name.
- struct NameValuePragmaExpressionSyntax Concrete syntax definition for a NameValuePragmaExpression.
- struct NetAliasSyntax Concrete syntax definition for a NetAlias.
- struct NetDeclarationSyntax Concrete syntax definition for a NetDeclaration.
- struct NetPortHeaderSyntax Concrete syntax definition for a NetPortHeader.
- struct NetStrengthSyntax Concrete syntax definition for a NetStrength.
- struct NetTypeDeclarationSyntax Concrete syntax definition for a NetTypeDeclaration.
- struct NewArrayExpressionSyntax Concrete syntax definition for a NewArrayExpression.
- struct NewClassExpressionSyntax Concrete syntax definition for a NewClassExpression.
- struct NonAnsiPortListSyntax Concrete syntax definition for a NonAnsiPortList.
- struct NonAnsiPortSyntax Concrete syntax definition for a NonAnsiPort.
- struct NonAnsiUdpPortListSyntax Concrete syntax definition for a NonAnsiUdpPortList.
- struct NumberPragmaExpressionSyntax Concrete syntax definition for a NumberPragmaExpression.
- struct OneStepDelaySyntax Concrete syntax definition for an OneStepDelay.
- struct OrderedArgumentSyntax Concrete syntax definition for an OrderedArgument.
- struct OrderedParamAssignmentSyntax Concrete syntax definition for an OrderedParamAssignment.
- struct OrderedPortConnectionSyntax Concrete syntax definition for an OrderedPortConnection.
- struct OrderedStructurePatternMemberSyntax Concrete syntax definition for an OrderedStructurePatternMember.
- struct PackageExportAllDeclarationSyntax Concrete syntax definition for a PackageExportAllDeclaration.
- struct PackageExportDeclarationSyntax Concrete syntax definition for a PackageExportDeclaration.
- struct PackageImportDeclarationSyntax Concrete syntax definition for a PackageImportDeclaration.
- struct PackageImportItemSyntax Concrete syntax definition for a PackageImportItem.
- struct ParamAssignmentSyntax Concrete syntax definition for a ParamAssignment.
- struct ParameterDeclarationBaseSyntax Concrete syntax definition for a ParameterDeclarationBase.
- struct ParameterDeclarationStatementSyntax Concrete syntax definition for a ParameterDeclarationStatement.
- struct ParameterDeclarationSyntax Concrete syntax definition for a ParameterDeclaration.
- struct ParameterPortListSyntax Concrete syntax definition for a ParameterPortList.
- struct ParameterValueAssignmentSyntax Concrete syntax definition for a ParameterValueAssignment.
- struct ParenExpressionListSyntax Concrete syntax definition for a ParenExpressionList.
- struct ParenPragmaExpressionSyntax Concrete syntax definition for a ParenPragmaExpression.
- struct ParenthesizedBinsSelectExprSyntax Concrete syntax definition for a ParenthesizedBinsSelectExpr.
- struct ParenthesizedConditionalDirectiveExpressionSyntax Concrete syntax definition for a ParenthesizedConditionalDirectiveExpression.
- struct ParenthesizedEventExpressionSyntax Concrete syntax definition for a ParenthesizedEventExpression.
- struct ParenthesizedExpressionSyntax Concrete syntax definition for a ParenthesizedExpression.
- struct ParenthesizedPatternSyntax Concrete syntax definition for a ParenthesizedPattern.
- struct ParenthesizedPropertyExprSyntax Concrete syntax definition for a ParenthesizedPropertyExpr.
- struct ParenthesizedSequenceExprSyntax Concrete syntax definition for a ParenthesizedSequenceExpr.
- struct PathDeclarationSyntax Concrete syntax definition for a PathDeclaration.
- struct PathDescriptionSyntax Concrete syntax definition for a PathDescription.
- struct PathSuffixSyntax Concrete syntax definition for a PathSuffix.
- struct PatternCaseItemSyntax Concrete syntax definition for a PatternCaseItem.
- struct PatternSyntax Concrete syntax definition for a Pattern.
- struct PortConcatenationSyntax Concrete syntax definition for a PortConcatenation.
- struct PortConnectionSyntax Concrete syntax definition for a PortConnection.
- struct PortDeclarationSyntax Concrete syntax definition for a PortDeclaration.
- struct PortExpressionSyntax Concrete syntax definition for a PortExpression.
- struct PortHeaderSyntax Concrete syntax definition for a PortHeader.
- struct PortListSyntax Concrete syntax definition for a PortList.
- struct PortReferenceSyntax Concrete syntax definition for a PortReference.
- struct PostfixUnaryExpressionSyntax Concrete syntax definition for a PostfixUnaryExpression.
- struct PragmaDirectiveSyntax Concrete syntax definition for a PragmaDirective.
- struct PragmaExpressionSyntax Concrete syntax definition for a PragmaExpression.
- struct PrefixUnaryExpressionSyntax Concrete syntax definition for a PrefixUnaryExpression.
- struct PrimaryBlockEventExpressionSyntax Concrete syntax definition for a PrimaryBlockEventExpression.
- struct PrimaryExpressionSyntax Concrete syntax definition for a PrimaryExpression.
- struct PrimitiveInstantiationSyntax Concrete syntax definition for a PrimitiveInstantiation.
- struct ProceduralAssignStatementSyntax Concrete syntax definition for a ProceduralAssignStatement.
- struct ProceduralBlockSyntax Concrete syntax definition for a ProceduralBlock.
- struct ProceduralDeassignStatementSyntax Concrete syntax definition for a ProceduralDeassignStatement.
- struct ProductionSyntax Concrete syntax definition for a Production.
- struct PropertyCaseItemSyntax Concrete syntax definition for a PropertyCaseItem.
- struct PropertyDeclarationSyntax Concrete syntax definition for a PropertyDeclaration.
- struct PropertyExprSyntax Concrete syntax definition for a PropertyExpr.
- struct PropertySpecSyntax Concrete syntax definition for a PropertySpec.
- struct PtrTokenOrSyntax A token pointer or a syntax node.
- struct PullStrengthSyntax Concrete syntax definition for a PullStrength.
- struct PulseStyleDeclarationSyntax Concrete syntax definition for a PulseStyleDeclaration.
- struct QueueDimensionSpecifierSyntax Concrete syntax definition for a QueueDimensionSpecifier.
- struct RandCaseItemSyntax Concrete syntax definition for a RandCaseItem.
- struct RandCaseStatementSyntax Concrete syntax definition for a RandCaseStatement.
- struct RandJoinClauseSyntax Concrete syntax definition for a RandJoinClause.
- struct RandSequenceStatementSyntax Concrete syntax definition for a RandSequenceStatement.
- struct RangeCoverageBinInitializerSyntax Concrete syntax definition for a RangeCoverageBinInitializer.
- struct RangeDimensionSpecifierSyntax Concrete syntax definition for a RangeDimensionSpecifier.
- struct RangeListSyntax Concrete syntax definition for a RangeList.
- struct RangeSelectSyntax Concrete syntax definition for a RangeSelect.
- struct RepeatedEventControlSyntax Concrete syntax definition for a RepeatedEventControl.
- struct ReplicatedAssignmentPatternSyntax Concrete syntax definition for a ReplicatedAssignmentPattern.
- struct ReturnStatementSyntax Concrete syntax definition for a ReturnStatement.
- struct RsCaseItemSyntax Concrete syntax definition for a RsCaseItem.
- struct RsCaseSyntax Concrete syntax definition for a RsCase.
- struct RsCodeBlockSyntax Concrete syntax definition for a RsCodeBlock.
- struct RsElseClauseSyntax Concrete syntax definition for a RsElseClause.
- struct RsIfElseSyntax Concrete syntax definition for a RsIfElse.
- struct RsProdItemSyntax Concrete syntax definition for a RsProdItem.
- struct RsProdSyntax Concrete syntax definition for a RsProd.
- struct RsRepeatSyntax Concrete syntax definition for a RsRepeat.
- struct RsRuleSyntax Concrete syntax definition for a RsRule.
- struct RsWeightClauseSyntax Concrete syntax definition for a RsWeightClause.
- struct ScopedNameSyntax Concrete syntax definition for a ScopedName.
- struct SelectorSyntax Concrete syntax definition for a Selector.
-
class SeparatedSyntaxList A syntax node that represents a token-separated list of child syntax nodes.
- class iterator_base An iterator that will iterate over just the nodes (and skip the delimiters) in the parent SeparatedSyntaxList.
- struct SequenceDeclarationSyntax Concrete syntax definition for a SequenceDeclaration.
- struct SequenceExprSyntax Concrete syntax definition for a SequenceExpr.
- struct SequenceMatchListSyntax Concrete syntax definition for a SequenceMatchList.
- struct SequenceRepetitionSyntax Concrete syntax definition for a SequenceRepetition.
- struct SignalEventExpressionSyntax Concrete syntax definition for a SignalEventExpression.
- struct SignedCastExpressionSyntax Concrete syntax definition for a SignedCastExpression.
- struct SimpleAssignmentPatternSyntax Concrete syntax definition for a SimpleAssignmentPattern.
- struct SimpleBinsSelectExprSyntax Concrete syntax definition for a SimpleBinsSelectExpr.
- struct SimpleDirectiveSyntax Concrete syntax definition for a SimpleDirective.
- struct SimplePathSuffixSyntax Concrete syntax definition for a SimplePathSuffix.
- struct SimplePragmaExpressionSyntax Concrete syntax definition for a SimplePragmaExpression.
- struct SimplePropertyExprSyntax Concrete syntax definition for a SimplePropertyExpr.
- struct SimpleSequenceExprSyntax Concrete syntax definition for a SimpleSequenceExpr.
- struct SolveBeforeConstraintSyntax Concrete syntax definition for a SolveBeforeConstraint.
- struct SpecifyBlockSyntax Concrete syntax definition for a SpecifyBlock.
- struct SpecparamDeclarationSyntax Concrete syntax definition for a SpecparamDeclaration.
- struct SpecparamDeclaratorSyntax Concrete syntax definition for a SpecparamDeclarator.
- struct StandardCaseItemSyntax Concrete syntax definition for a StandardCaseItem.
- struct StandardPropertyCaseItemSyntax Concrete syntax definition for a StandardPropertyCaseItem.
- struct StandardRsCaseItemSyntax Concrete syntax definition for a StandardRsCaseItem.
- struct StatementSyntax Concrete syntax definition for a Statement.
- struct StreamExpressionSyntax Concrete syntax definition for a StreamExpression.
- struct StreamExpressionWithRangeSyntax Concrete syntax definition for a StreamExpressionWithRange.
- struct StreamingConcatenationExpressionSyntax Concrete syntax definition for a StreamingConcatenationExpression.
- struct StrongWeakPropertyExprSyntax Concrete syntax definition for a StrongWeakPropertyExpr.
- struct StructUnionMemberSyntax Concrete syntax definition for a StructUnionMember.
- struct StructUnionTypeSyntax Concrete syntax definition for a StructUnionType.
- struct StructuredAssignmentPatternSyntax Concrete syntax definition for a StructuredAssignmentPattern.
- struct StructurePatternMemberSyntax Concrete syntax definition for a StructurePatternMember.
- struct StructurePatternSyntax Concrete syntax definition for a StructurePattern.
- struct SuperNewDefaultedArgsExpressionSyntax Concrete syntax definition for a SuperNewDefaultedArgsExpression.
- class SyntaxFacts A collection of static methods that query various facts related to tokens and syntax nodes.
- class SyntaxList A syntax node that represents a list of child syntax nodes.
- class SyntaxListBase A base class for syntax nodes that represent a list of items.
- class SyntaxNode Base class for all syntax nodes.
- class SyntaxPrinter Provides support for printing tokens, trivia, or whole syntax trees back to source code.
- class SyntaxRewriter A helper class that assists in rewriting syntax trees – useful for automated refactoring tools.
- class SyntaxTree The SyntaxTree is the easiest way to interface with the lexer / preprocessor / parser stack.
- class SyntaxVisitor Use this type as a base class for syntax tree visitors.
- struct SystemNameSyntax Concrete syntax definition for a SystemName.
- struct SystemTimingCheckSyntax Concrete syntax definition for a SystemTimingCheck.
- struct TaggedPatternSyntax Concrete syntax definition for a TaggedPattern.
- struct TaggedUnionExpressionSyntax Concrete syntax definition for a TaggedUnionExpression.
- struct TimeScaleDirectiveSyntax Concrete syntax definition for a TimeScaleDirective.
- struct TimeUnitsDeclarationSyntax Concrete syntax definition for a TimeUnitsDeclaration.
- struct TimingCheckArgSyntax Concrete syntax definition for a TimingCheckArg.
- struct TimingCheckEventArgSyntax Concrete syntax definition for a TimingCheckEventArg.
- struct TimingCheckEventConditionSyntax Concrete syntax definition for a TimingCheckEventCondition.
- struct TimingControlExpressionSyntax Concrete syntax definition for a TimingControlExpression.
- struct TimingControlStatementSyntax Concrete syntax definition for a TimingControlStatement.
- struct TimingControlSyntax Concrete syntax definition for a TimingControl.
- class TokenList A syntax node that represents a list of child tokens.
- struct TokenOrSyntax A token or a syntax node.
- struct TransListCoverageBinInitializerSyntax Concrete syntax definition for a TransListCoverageBinInitializer.
- struct TransRangeSyntax Concrete syntax definition for a TransRange.
- struct TransRepeatRangeSyntax Concrete syntax definition for a TransRepeatRange.
- struct TransSetSyntax Concrete syntax definition for a TransSet.
- struct TypeAssignmentSyntax Concrete syntax definition for a TypeAssignment.
- struct TypedefDeclarationSyntax Concrete syntax definition for a TypedefDeclaration.
- struct TypeParameterDeclarationSyntax Concrete syntax definition for a TypeParameterDeclaration.
- struct TypeReferenceSyntax Concrete syntax definition for a TypeReference.
- struct UdpBodySyntax Concrete syntax definition for an UdpBody.
- struct UdpDeclarationSyntax Concrete syntax definition for an UdpDeclaration.
- struct UdpEdgeFieldSyntax Concrete syntax definition for an UdpEdgeField.
- struct UdpEntrySyntax Concrete syntax definition for an UdpEntry.
- struct UdpFieldBaseSyntax Concrete syntax definition for an UdpFieldBase.
- struct UdpInitialStmtSyntax Concrete syntax definition for an UdpInitialStmt.
- struct UdpInputPortDeclSyntax Concrete syntax definition for an UdpInputPortDecl.
- struct UdpOutputPortDeclSyntax Concrete syntax definition for an UdpOutputPortDecl.
- struct UdpPortDeclSyntax Concrete syntax definition for an UdpPortDecl.
- struct UdpPortListSyntax Concrete syntax definition for an UdpPortList.
- struct UdpSimpleFieldSyntax Concrete syntax definition for an UdpSimpleField.
- struct UnaryBinsSelectExprSyntax Concrete syntax definition for an UnaryBinsSelectExpr.
- struct UnaryConditionalDirectiveExpressionSyntax Concrete syntax definition for an UnaryConditionalDirectiveExpression.
- struct UnaryPropertyExprSyntax Concrete syntax definition for an UnaryPropertyExpr.
- struct UnarySelectPropertyExprSyntax Concrete syntax definition for an UnarySelectPropertyExpr.
- struct UnconditionalBranchDirectiveSyntax Concrete syntax definition for an UnconditionalBranchDirective.
- struct UnconnectedDriveDirectiveSyntax Concrete syntax definition for an UnconnectedDriveDirective.
- struct UndefDirectiveSyntax Concrete syntax definition for an UndefDirective.
- struct UniquenessConstraintSyntax Concrete syntax definition for an UniquenessConstraint.
- struct UserDefinedNetDeclarationSyntax Concrete syntax definition for an UserDefinedNetDeclaration.
- struct ValueRangeExpressionSyntax Concrete syntax definition for a ValueRangeExpression.
- struct VariableDimensionSyntax Concrete syntax definition for a VariableDimension.
- struct VariablePatternSyntax Concrete syntax definition for a VariablePattern.
- struct VariablePortHeaderSyntax Concrete syntax definition for a VariablePortHeader.
- struct VirtualInterfaceTypeSyntax Concrete syntax definition for a VirtualInterfaceType.
- struct VoidCastedCallStatementSyntax Concrete syntax definition for a VoidCastedCallStatement.
- struct WaitForkStatementSyntax Concrete syntax definition for a WaitForkStatement.
- struct WaitOrderStatementSyntax Concrete syntax definition for a WaitOrderStatement.
- struct WaitStatementSyntax Concrete syntax definition for a WaitStatement.
- struct WildcardDimensionSpecifierSyntax Concrete syntax definition for a WildcardDimensionSpecifier.
- struct WildcardPatternSyntax Concrete syntax definition for a WildcardPattern.
- struct WildcardPortConnectionSyntax Concrete syntax definition for a WildcardPortConnection.
- struct WildcardPortListSyntax Concrete syntax definition for a WildcardPortList.
- struct WildcardUdpPortListSyntax Concrete syntax definition for a WildcardUdpPortList.
- struct WithClauseSyntax Concrete syntax definition for a WithClause.
- struct WithFunctionClauseSyntax Concrete syntax definition for a WithFunctionClause.
- struct WithFunctionSampleSyntax Concrete syntax definition for a WithFunctionSample.
- struct AssociativeArray Represents a SystemVerilog associative array, for use during constant evaluation.
- class Bag Bag - A general container of arbitrary objects.
- class bitmask A convenience wrapper around an enum that is intended to be used as a combination of bitwise-combined flags.
- struct BufferID BufferID - Represents a source buffer.
- class BumpAllocator BumpAllocator - Fast O(1) allocator.
-
class CommandLine Command line argument parser.
- struct ParseOptions Contains various options to control parsing of command flags.
- struct ConstantRange Represents a simple constant range, fully inclusive.
-
class ConstantValue Represents a constant (compile-time evaluated) value, of one of a few possible types.
- struct NullPlaceholder This type represents the null value (class handles, etc) in expressions.
- struct UnboundedPlaceholder This type represents the unbounded value ($) in expressions.
- class CopyPtr A smart pointer that allocates its pointee on the heap and provides value copy semantics.
- class CVIterator An iterator for child elements in a ConstantValue, if it represents an array, map, or queue.
- class DiagCode A compact code that represents a diagnostic.
- class Diagnostic Wraps up a reported diagnostic along with location in source and any arguments.
- class DiagnosticEngine The DiagnosticEngine is the central point for controlling how diagnostics are categorized and issued to clients.
- class Diagnostics A collection of diagnostics.
- class function_ref An efficient, type-erasing, non-owning reference to a callable.
- class IntervalMap A data structure that maps from intervals (closed ranges) to values.
- class iterator_facade Iterator facade which infers iterator types and functionality.
- class JsonWriter A very lightweight JSON writer.
- struct logic_t Represents a single 4-state bit.
- class not_null A wrapper around a pointer that indicates that it should never be null.
- class OS A collection of various OS-specific utility functions.
- class PointerIntPair A data structure that operates as a pair of a pointer and an integer, which fits in the space of one full sized pointer by exploiting unused bits in the pointer representation.
- class PoolAllocator A simple pool allocator built on top of a bump allocator.
- struct real_t Represents an IEEE754 double precision floating point number.
- class SafeIndexedVector SafeIndexedVector - a flat random-access container that uses a strongly typed integer type for indexing, so that clients can store indices without chance of mistaking them for some other value.
- class ScopeGuard A simple guard class that ensures a given function is invoked when the guard object is destroyed.
- struct shortreal_t Represents an IEEE754 single precision floating point number.
- class SmallMap A hash map container that allocates room for its first
N
elements on the stack. - class SmallSet A hash set container that allocates room for its first
N
elements on the stack. - class SmallVector A concrete, sized version of the SmallVectorBase<T> template.
- class SmallVectorBase Base class for a fast growable array.
- struct SourceBuffer Represents a source buffer; that is, the actual text of the source code along with an identifier for the buffer which potentially encodes its include stack.
- struct SourceLibrary A named collection of source buffers that form a library.
- class SourceLocation This class represents a location in source code (or within a macro expansion).
-
class SourceManager SourceManager - Handles loading and tracking source files.
- struct DiagnosticDirectiveInfo Stores information specified in a `pragma diagnostic directive, which alters the currently active set of diagnostic mappings.
- class SourceRange Combines a pair of source locations that denote a range of source text.
- class SVInt SystemVerilog arbitrary precision integer type.
- class SVIntStorage POD base class for SVInt that contains all data members.
- struct SVQueue Represents a SystemVerilog queue, for use during constant evaluation.
- struct SVUnion Represents a SystemVerilog unpacked union, for use during constant evaluation.
- class ThreadPool A lightweight thread pool for running concurrent jobs.
- struct TimeScale A collection of a base time and a precision value that determines the scale of simulation time steps.
- struct TimeScaleValue A combination of a unit and magnitude for a time scale value.
- class TimeTrace Support for performance profiling via hierarchical time tracing.
- class TimeTraceScope A helper class that calls begin and end of the time trace profiler.
- class type_index A simple implementation of a type index that can stand in for std::type_index to allow building without RTTI enabled.
- class TypedBumpAllocator A strongly-typed version of the BumpAllocator, which has the additional behavior of calling destructors on all elements when the allocator itself is destructed.
- struct UninitializedTag A tag type used in a SmallVector constructor to indicate that the passed capacity parameter is only for reserving uninitialized memory and not actually adding elements to the container.
- class VersionInfo Provides access to compile-time generated version info about the library.
-
namespace assert Assertion-related utilities.
- namespace std STL namespace.