slang::ast::ForeachConstraint class

Represents a constraint that iterates over the elements of an array.

Base classes

class Constraint
The base class for all constraints in SystemVerilog.

Public variables

const Expression& arrayRef
The target array of the iteration.
std::span<const ForeachLoopStatement::LoopDim> loopDims
The list of loop dimensions that should be iterated over.
const Constraint& body
The constraint body that applies to each iteration.