slang::parsing::PreprocessorOptions struct

Contains various options that can control preprocessing behavior.

Public variables

uint32_t maxIncludeDepth
The maximum depth of the include stack; further attempts to include a file will result in an error.
LanguageVersion languageVersion
The version of the SystemVerilog language to use.
std::string predefineSource
The name to associate with errors produced by macros specified via the predefines option.
std::vector<std::string> predefines
A set of macros to predefine, of the form <macro>=<value> or just <macro> to predefine to a value of 1.
std::vector<std::string> undefines
A set of macro names to undefine at the start of file preprocessing.
std::vector<std::filesystem::path> additionalIncludePaths
Additional include paths to use when preprocessing.
flat_hash_set<std::string_view> ignoreDirectives
A set of preprocessor directives to be ignored.