slang/ast/expressions/AssertionExpr.h file

Assertion expression creation and analysis.

Namespaces

namespace slang
Root namespace.
namespace slang::ast
The SystemVerilog AST.

Classes

class slang::ast::AssertionExpr
The base class for assertion expressions (sequences and properties).
class slang::ast::InvalidAssertionExpr
Represents an invalid expression.
struct slang::ast::SequenceRange
Represents a range of potential sequence matches.
struct slang::ast::SequenceRepetition
Encodes a repetition of some sub-sequence.
class slang::ast::SimpleAssertionExpr
Represents an assertion expression defined as a simple regular expression.
class slang::ast::SequenceConcatExpr
Represents an assertion expression defined as a delayed concatenation of other expressions.
struct slang::ast::SequenceConcatExpr::Element
An element of a sequence concatenation.
class slang::ast::SequenceWithMatchExpr
Represents a sequence expression along with a list of actions to perform upon matching and/or instructions for repetition.
class slang::ast::UnaryAssertionExpr
Represents a unary operator in a property expression.
class slang::ast::BinaryAssertionExpr
Represents a binary operator in a sequence or property expression.
class slang::ast::FirstMatchAssertionExpr
Represents a first_match operator in a sequence expression.
class slang::ast::ClockingAssertionExpr
Represents an assertion expression with attached clocking control.
class slang::ast::StrongWeakAssertionExpr
Represents a strong or weak operator in a property expression.
class slang::ast::AbortAssertionExpr
Represents an abort (accept_on / reject_on) property expression.
class slang::ast::ConditionalAssertionExpr
Represents a conditional operator in a property expression.
class slang::ast::CaseAssertionExpr
Represents a case operator in a property expression.
struct slang::ast::CaseAssertionExpr::ItemGroup
A group of items that match one case item.
class slang::ast::DisableIffAssertionExpr
Represents a disable iff condition in a property spec.