slang/ast/expressions/AssignmentExpressions.h file

Definitions for assignment-related expressions.

Namespaces

namespace slang
Root namespace.
namespace slang::ast
The SystemVerilog AST.

Classes

class slang::ast::AssignmentExpression
Represents an assignment expression.
class slang::ast::ConversionExpression
Represents a type conversion expression (implicit or explicit).
class slang::ast::NewArrayExpression
Represents a new[] expression that creates a dynamic array.
class slang::ast::NewClassExpression
Represents a new expression that creates a class instance.
class slang::ast::NewCovergroupExpression
Represents a new expression that creates a covergroup instance.
class slang::ast::AssignmentPatternExpressionBase
Base class for assignment pattern expressions.
class slang::ast::SimpleAssignmentPatternExpression
Represents a simple assignment pattern expression.
class slang::ast::StructuredAssignmentPatternExpression
Represents a structured assignment pattern expression.
struct slang::ast::StructuredAssignmentPatternExpression::MemberSetter
A setter for a specific type member.
struct slang::ast::StructuredAssignmentPatternExpression::TypeSetter
A setter for a specific type.
struct slang::ast::StructuredAssignmentPatternExpression::IndexSetter
A setter for a specific array index.
class slang::ast::ReplicatedAssignmentPatternExpression
Represents a replicated assignment pattern expression.