slang::ast::AssignmentPatternExpressionBase class

Base class for assignment pattern expressions.

Base classes

class Expression
The base class for all expressions in SystemVerilog.

Derived classes

class ReplicatedAssignmentPatternExpression
Represents a replicated assignment pattern expression.
class SimpleAssignmentPatternExpression
Represents a simple assignment pattern expression.
class StructuredAssignmentPatternExpression
Represents a structured assignment pattern expression.

Public functions

auto elements() const -> std::span<const Expression*const>

Function documentation

std::span<const Expression*const> slang::ast::AssignmentPatternExpressionBase::elements() const

Returns the list of elements in the assignment pattern