slang/ast/types/AllTypes.h file

All type symbol definitions.

Namespaces

namespace slang
Root namespace.
namespace slang::ast
The SystemVerilog AST.

Classes

class slang::ast::IntegralType
A base class for integral types, which include all scalar types, predefined integer types, packed arrays, packed structures, packed unions, and enum types.
class slang::ast::ScalarType
Represents the single-bit scalar types.
class slang::ast::PredefinedIntegerType
Represents the predefined integer types, which are essentially predefined vector types.
class slang::ast::FloatingType
Represents one of the predefined floating point types, which are used for representing real numbers.
class slang::ast::EnumType
Represents an enumerated type.
class slang::ast::EnumValueSymbol
Represents an enumerated value / member.
class slang::ast::PackedArrayType
Represents a packed array of some simple element type (vectors, packed structures, other packed arrays).
class slang::ast::FixedSizeUnpackedArrayType
Represents a fixed size unpacked array (as opposed to a dynamically sized unpacked array, associative array, or queue).
class slang::ast::DynamicArrayType
Represents a dynamically sized unpacked array.
class slang::ast::DPIOpenArrayType
A special case for DPI imports that have "open array" typed arguments.
class slang::ast::AssociativeArrayType
Represents an unpacked array that provides associative lookup.
class slang::ast::QueueType
Represents an unpacked array that provides queue semantics.
class slang::ast::PackedStructType
Represents a packed structure of members.
class slang::ast::UnpackedStructType
Represents an unpacked structure of members.
class slang::ast::PackedUnionType
Represents a packed union of members.
class slang::ast::UnpackedUnionType
Represents an unpacked union of members.
class slang::ast::VoidType
Represents the Void (or lack of a) type.
class slang::ast::NullType
Represents the Null type.
class slang::ast::CHandleType
Represents storage for pointers passed using the DPI (a "C" compatible handle).
class slang::ast::StringType
Represents the built-in ASCII string type.
class slang::ast::EventType
Represents a SystemVerilog event handle, which is used for synchronization between asynchronous processes.
class slang::ast::UnboundedType
Represents the '$' special token that is a standin for the unbounded end of a queue or range selection.
class slang::ast::TypeRefType
Represents the result of a type reference expression, i.e. the type() operator.
class slang::ast::UntypedType
Represents an 'untyped' type, which is used for e.g. arguments of sequences.
class slang::ast::SequenceType
Represents the type of sequence instances and arguments.
class slang::ast::PropertyType
Represents the type of property instances and arguments.
class slang::ast::VirtualInterfaceType
Represents a virtual interface type.
class slang::ast::ForwardingTypedefSymbol
A forward declaration of a user-defined type name.
class slang::ast::TypeAliasType
Represents a type alias, which is introduced via a typedef or type parameter.
class slang::ast::ErrorType
An empty type symbol that indicates an error occurred while trying to resolve the type of some expression or declaration.