AllSyntax.h file

All generated syntax node data structures.

Namespaces

namespace slang
Root namespace.
namespace slang::syntax
Syntax tree manipulation.

Classes

struct slang::syntax::ExpressionSyntax
Concrete syntax definition for an Expression.
struct slang::syntax::DataTypeSyntax
Concrete syntax definition for a DataType.
struct slang::syntax::TimingControlSyntax
Concrete syntax definition for a TimingControl.
struct slang::syntax::SequenceExprSyntax
Concrete syntax definition for a SequenceExpr.
struct slang::syntax::PropertyExprSyntax
Concrete syntax definition for a PropertyExpr.
struct slang::syntax::EqualsValueClauseSyntax
Concrete syntax definition for an EqualsValueClause.
struct slang::syntax::AttributeSpecSyntax
Concrete syntax definition for an AttributeSpec.
struct slang::syntax::AttributeInstanceSyntax
Concrete syntax definition for an AttributeInstance.
struct slang::syntax::NamedLabelSyntax
Concrete syntax definition for a NamedLabel.
struct slang::syntax::StatementSyntax
Concrete syntax definition for a Statement.
struct slang::syntax::MemberSyntax
Concrete syntax definition for a Member.
struct slang::syntax::ArgumentSyntax
Concrete syntax definition for an Argument.
struct slang::syntax::EmptyArgumentSyntax
Concrete syntax definition for an EmptyArgument.
struct slang::syntax::OrderedArgumentSyntax
Concrete syntax definition for an OrderedArgument.
struct slang::syntax::NamedArgumentSyntax
Concrete syntax definition for a NamedArgument.
struct slang::syntax::ArgumentListSyntax
Concrete syntax definition for an ArgumentList.
struct slang::syntax::ParamAssignmentSyntax
Concrete syntax definition for a ParamAssignment.
struct slang::syntax::OrderedParamAssignmentSyntax
Concrete syntax definition for an OrderedParamAssignment.
struct slang::syntax::NamedParamAssignmentSyntax
Concrete syntax definition for a NamedParamAssignment.
struct slang::syntax::ParameterValueAssignmentSyntax
Concrete syntax definition for a ParameterValueAssignment.
struct slang::syntax::PatternSyntax
Concrete syntax definition for a Pattern.
struct slang::syntax::ParenthesizedPatternSyntax
Concrete syntax definition for a ParenthesizedPattern.
struct slang::syntax::VariablePatternSyntax
Concrete syntax definition for a VariablePattern.
struct slang::syntax::WildcardPatternSyntax
Concrete syntax definition for a WildcardPattern.
struct slang::syntax::ExpressionPatternSyntax
Concrete syntax definition for an ExpressionPattern.
struct slang::syntax::TaggedPatternSyntax
Concrete syntax definition for a TaggedPattern.
struct slang::syntax::StructurePatternMemberSyntax
Concrete syntax definition for a StructurePatternMember.
struct slang::syntax::OrderedStructurePatternMemberSyntax
Concrete syntax definition for an OrderedStructurePatternMember.
struct slang::syntax::NamedStructurePatternMemberSyntax
Concrete syntax definition for a NamedStructurePatternMember.
struct slang::syntax::StructurePatternSyntax
Concrete syntax definition for a StructurePattern.
struct slang::syntax::MatchesClauseSyntax
Concrete syntax definition for a MatchesClause.
struct slang::syntax::ConditionalPatternSyntax
Concrete syntax definition for a ConditionalPattern.
struct slang::syntax::ConditionalPredicateSyntax
Concrete syntax definition for a ConditionalPredicate.
struct slang::syntax::AssignmentPatternSyntax
Concrete syntax definition for an AssignmentPattern.
struct slang::syntax::SimpleAssignmentPatternSyntax
Concrete syntax definition for a SimpleAssignmentPattern.
struct slang::syntax::AssignmentPatternItemSyntax
Concrete syntax definition for an AssignmentPatternItem.
struct slang::syntax::StructuredAssignmentPatternSyntax
Concrete syntax definition for a StructuredAssignmentPattern.
struct slang::syntax::ReplicatedAssignmentPatternSyntax
Concrete syntax definition for a ReplicatedAssignmentPattern.
struct slang::syntax::BadExpressionSyntax
Concrete syntax definition for a BadExpression.
struct slang::syntax::PrimaryExpressionSyntax
Concrete syntax definition for a PrimaryExpression.
struct slang::syntax::PrefixUnaryExpressionSyntax
Concrete syntax definition for a PrefixUnaryExpression.
struct slang::syntax::PostfixUnaryExpressionSyntax
Concrete syntax definition for a PostfixUnaryExpression.
struct slang::syntax::BinaryExpressionSyntax
Concrete syntax definition for a BinaryExpression.
struct slang::syntax::MinTypMaxExpressionSyntax
Concrete syntax definition for a MinTypMaxExpression.
struct slang::syntax::TaggedUnionExpressionSyntax
Concrete syntax definition for a TaggedUnionExpression.
struct slang::syntax::ValueRangeExpressionSyntax
Concrete syntax definition for a ValueRangeExpression.
struct slang::syntax::RangeListSyntax
Concrete syntax definition for a RangeList.
struct slang::syntax::InsideExpressionSyntax
Concrete syntax definition for an InsideExpression.
struct slang::syntax::ConditionalExpressionSyntax
Concrete syntax definition for a ConditionalExpression.
struct slang::syntax::AssignmentPatternExpressionSyntax
Concrete syntax definition for an AssignmentPatternExpression.
struct slang::syntax::SelectorSyntax
Concrete syntax definition for a Selector.
struct slang::syntax::BitSelectSyntax
Concrete syntax definition for a BitSelect.
struct slang::syntax::RangeSelectSyntax
Concrete syntax definition for a RangeSelect.
struct slang::syntax::ElementSelectSyntax
Concrete syntax definition for an ElementSelect.
struct slang::syntax::NameSyntax
Concrete syntax definition for a Name.
struct slang::syntax::IdentifierNameSyntax
Concrete syntax definition for an IdentifierName.
struct slang::syntax::SystemNameSyntax
Concrete syntax definition for a SystemName.
struct slang::syntax::IdentifierSelectNameSyntax
Concrete syntax definition for an IdentifierSelectName.
struct slang::syntax::EmptyIdentifierNameSyntax
Concrete syntax definition for an EmptyIdentifierName.
struct slang::syntax::KeywordNameSyntax
Concrete syntax definition for a KeywordName.
struct slang::syntax::ClassNameSyntax
Concrete syntax definition for a ClassName.
struct slang::syntax::ScopedNameSyntax
Concrete syntax definition for a ScopedName.
struct slang::syntax::LiteralExpressionSyntax
Concrete syntax definition for a LiteralExpression.
struct slang::syntax::IntegerVectorExpressionSyntax
Concrete syntax definition for an IntegerVectorExpression.
struct slang::syntax::EmptyQueueExpressionSyntax
Concrete syntax definition for an EmptyQueueExpression.
struct slang::syntax::ConcatenationExpressionSyntax
Concrete syntax definition for a ConcatenationExpression.
struct slang::syntax::MultipleConcatenationExpressionSyntax
Concrete syntax definition for a MultipleConcatenationExpression.
struct slang::syntax::StreamExpressionWithRangeSyntax
Concrete syntax definition for a StreamExpressionWithRange.
struct slang::syntax::StreamExpressionSyntax
Concrete syntax definition for a StreamExpression.
struct slang::syntax::StreamingConcatenationExpressionSyntax
Concrete syntax definition for a StreamingConcatenationExpression.
struct slang::syntax::ParenthesizedExpressionSyntax
Concrete syntax definition for a ParenthesizedExpression.
struct slang::syntax::NewArrayExpressionSyntax
Concrete syntax definition for a NewArrayExpression.
struct slang::syntax::NewClassExpressionSyntax
Concrete syntax definition for a NewClassExpression.
struct slang::syntax::CopyClassExpressionSyntax
Concrete syntax definition for a CopyClassExpression.
struct slang::syntax::SuperNewDefaultedArgsExpressionSyntax
Concrete syntax definition for a SuperNewDefaultedArgsExpression.
struct slang::syntax::ElementSelectExpressionSyntax
Concrete syntax definition for an ElementSelectExpression.
struct slang::syntax::MemberAccessExpressionSyntax
Concrete syntax definition for a MemberAccessExpression.
struct slang::syntax::InvocationExpressionSyntax
Concrete syntax definition for an InvocationExpression.
struct slang::syntax::CastExpressionSyntax
Concrete syntax definition for a CastExpression.
struct slang::syntax::SignedCastExpressionSyntax
Concrete syntax definition for a SignedCastExpression.
struct slang::syntax::DelaySyntax
Concrete syntax definition for a Delay.
struct slang::syntax::Delay3Syntax
Concrete syntax definition for a Delay3.
struct slang::syntax::OneStepDelaySyntax
Concrete syntax definition for an OneStepDelay.
struct slang::syntax::EventControlSyntax
Concrete syntax definition for an EventControl.
struct slang::syntax::IffEventClauseSyntax
Concrete syntax definition for an IffEventClause.
struct slang::syntax::EventExpressionSyntax
Concrete syntax definition for an EventExpression.
struct slang::syntax::SignalEventExpressionSyntax
Concrete syntax definition for a SignalEventExpression.
struct slang::syntax::BinaryEventExpressionSyntax
Concrete syntax definition for a BinaryEventExpression.
struct slang::syntax::ParenthesizedEventExpressionSyntax
Concrete syntax definition for a ParenthesizedEventExpression.
struct slang::syntax::ImplicitEventControlSyntax
Concrete syntax definition for an ImplicitEventControl.
struct slang::syntax::EventControlWithExpressionSyntax
Concrete syntax definition for an EventControlWithExpression.
struct slang::syntax::RepeatedEventControlSyntax
Concrete syntax definition for a RepeatedEventControl.
struct slang::syntax::TimingControlExpressionSyntax
Concrete syntax definition for a TimingControlExpression.
struct slang::syntax::DimensionSpecifierSyntax
Concrete syntax definition for a DimensionSpecifier.
struct slang::syntax::RangeDimensionSpecifierSyntax
Concrete syntax definition for a RangeDimensionSpecifier.
struct slang::syntax::WildcardDimensionSpecifierSyntax
Concrete syntax definition for a WildcardDimensionSpecifier.
struct slang::syntax::ColonExpressionClauseSyntax
Concrete syntax definition for a ColonExpressionClause.
struct slang::syntax::QueueDimensionSpecifierSyntax
Concrete syntax definition for a QueueDimensionSpecifier.
struct slang::syntax::VariableDimensionSyntax
Concrete syntax definition for a VariableDimension.
struct slang::syntax::DeclaratorSyntax
Concrete syntax definition for a Declarator.
struct slang::syntax::DataDeclarationSyntax
Concrete syntax definition for a DataDeclaration.
struct slang::syntax::ForwardTypeRestrictionSyntax
Concrete syntax definition for a ForwardTypeRestriction.
struct slang::syntax::TypedefDeclarationSyntax
Concrete syntax definition for a TypedefDeclaration.
struct slang::syntax::ForwardTypedefDeclarationSyntax
Concrete syntax definition for a ForwardTypedefDeclaration.
struct slang::syntax::NetStrengthSyntax
Concrete syntax definition for a NetStrength.
struct slang::syntax::ChargeStrengthSyntax
Concrete syntax definition for a ChargeStrength.
struct slang::syntax::DriveStrengthSyntax
Concrete syntax definition for a DriveStrength.
struct slang::syntax::PullStrengthSyntax
Concrete syntax definition for a PullStrength.
struct slang::syntax::NetDeclarationSyntax
Concrete syntax definition for a NetDeclaration.
struct slang::syntax::UserDefinedNetDeclarationSyntax
Concrete syntax definition for an UserDefinedNetDeclaration.
struct slang::syntax::WithFunctionClauseSyntax
Concrete syntax definition for a WithFunctionClause.
struct slang::syntax::NetTypeDeclarationSyntax
Concrete syntax definition for a NetTypeDeclaration.
struct slang::syntax::PackageImportItemSyntax
Concrete syntax definition for a PackageImportItem.
struct slang::syntax::PackageImportDeclarationSyntax
Concrete syntax definition for a PackageImportDeclaration.
struct slang::syntax::PackageExportDeclarationSyntax
Concrete syntax definition for a PackageExportDeclaration.
struct slang::syntax::PackageExportAllDeclarationSyntax
Concrete syntax definition for a PackageExportAllDeclaration.
struct slang::syntax::ParameterDeclarationBaseSyntax
Concrete syntax definition for a ParameterDeclarationBase.
struct slang::syntax::ParameterDeclarationSyntax
Concrete syntax definition for a ParameterDeclaration.
struct slang::syntax::EqualsTypeClauseSyntax
Concrete syntax definition for an EqualsTypeClause.
struct slang::syntax::TypeAssignmentSyntax
Concrete syntax definition for a TypeAssignment.
struct slang::syntax::TypeParameterDeclarationSyntax
Concrete syntax definition for a TypeParameterDeclaration.
struct slang::syntax::ParameterDeclarationStatementSyntax
Concrete syntax definition for a ParameterDeclarationStatement.
struct slang::syntax::PortHeaderSyntax
Concrete syntax definition for a PortHeader.
struct slang::syntax::PortDeclarationSyntax
Concrete syntax definition for a PortDeclaration.
struct slang::syntax::GenvarDeclarationSyntax
Concrete syntax definition for a GenvarDeclaration.
struct slang::syntax::FunctionPortBaseSyntax
Concrete syntax definition for a FunctionPortBase.
struct slang::syntax::DefaultFunctionPortSyntax
Concrete syntax definition for a DefaultFunctionPort.
struct slang::syntax::FunctionPortSyntax
Concrete syntax definition for a FunctionPort.
struct slang::syntax::FunctionPortListSyntax
Concrete syntax definition for a FunctionPortList.
struct slang::syntax::IntegerTypeSyntax
Concrete syntax definition for an IntegerType.
struct slang::syntax::KeywordTypeSyntax
Concrete syntax definition for a KeywordType.
struct slang::syntax::NamedTypeSyntax
Concrete syntax definition for a NamedType.
struct slang::syntax::StructUnionMemberSyntax
Concrete syntax definition for a StructUnionMember.
struct slang::syntax::StructUnionTypeSyntax
Concrete syntax definition for a StructUnionType.
struct slang::syntax::EnumTypeSyntax
Concrete syntax definition for an EnumType.
struct slang::syntax::TypeReferenceSyntax
Concrete syntax definition for a TypeReference.
struct slang::syntax::DotMemberClauseSyntax
Concrete syntax definition for a DotMemberClause.
struct slang::syntax::VirtualInterfaceTypeSyntax
Concrete syntax definition for a VirtualInterfaceType.
struct slang::syntax::ImplicitTypeSyntax
Concrete syntax definition for an ImplicitType.
struct slang::syntax::DeferredAssertionSyntax
Concrete syntax definition for a DeferredAssertion.
struct slang::syntax::ElseClauseSyntax
Concrete syntax definition for an ElseClause.
struct slang::syntax::ActionBlockSyntax
Concrete syntax definition for an ActionBlock.
struct slang::syntax::ImmediateAssertionStatementSyntax
Concrete syntax definition for an ImmediateAssertionStatement.
struct slang::syntax::DisableIffSyntax
Concrete syntax definition for a DisableIff.
struct slang::syntax::PropertySpecSyntax
Concrete syntax definition for a PropertySpec.
struct slang::syntax::ConcurrentAssertionStatementSyntax
Concrete syntax definition for a ConcurrentAssertionStatement.
struct slang::syntax::ConcurrentAssertionMemberSyntax
Concrete syntax definition for a ConcurrentAssertionMember.
struct slang::syntax::ImmediateAssertionMemberSyntax
Concrete syntax definition for an ImmediateAssertionMember.
struct slang::syntax::EmptyStatementSyntax
Concrete syntax definition for an EmptyStatement.
struct slang::syntax::ConditionalStatementSyntax
Concrete syntax definition for a ConditionalStatement.
struct slang::syntax::CaseItemSyntax
Concrete syntax definition for a CaseItem.
struct slang::syntax::DefaultCaseItemSyntax
Concrete syntax definition for a DefaultCaseItem.
struct slang::syntax::PatternCaseItemSyntax
Concrete syntax definition for a PatternCaseItem.
struct slang::syntax::StandardCaseItemSyntax
Concrete syntax definition for a StandardCaseItem.
struct slang::syntax::CaseStatementSyntax
Concrete syntax definition for a CaseStatement.
struct slang::syntax::ForeverStatementSyntax
Concrete syntax definition for a ForeverStatement.
struct slang::syntax::LoopStatementSyntax
Concrete syntax definition for a LoopStatement.
struct slang::syntax::DoWhileStatementSyntax
Concrete syntax definition for a DoWhileStatement.
struct slang::syntax::ForVariableDeclarationSyntax
Concrete syntax definition for a ForVariableDeclaration.
struct slang::syntax::ForLoopStatementSyntax
Concrete syntax definition for a ForLoopStatement.
struct slang::syntax::ForeachLoopListSyntax
Concrete syntax definition for a ForeachLoopList.
struct slang::syntax::ForeachLoopStatementSyntax
Concrete syntax definition for a ForeachLoopStatement.
struct slang::syntax::ReturnStatementSyntax
Concrete syntax definition for a ReturnStatement.
struct slang::syntax::JumpStatementSyntax
Concrete syntax definition for a JumpStatement.
struct slang::syntax::TimingControlStatementSyntax
Concrete syntax definition for a TimingControlStatement.
struct slang::syntax::ExpressionStatementSyntax
Concrete syntax definition for an ExpressionStatement.
struct slang::syntax::VoidCastedCallStatementSyntax
Concrete syntax definition for a VoidCastedCallStatement.
struct slang::syntax::ProceduralAssignStatementSyntax
Concrete syntax definition for a ProceduralAssignStatement.
struct slang::syntax::ProceduralDeassignStatementSyntax
Concrete syntax definition for a ProceduralDeassignStatement.
struct slang::syntax::DisableStatementSyntax
Concrete syntax definition for a DisableStatement.
struct slang::syntax::DisableForkStatementSyntax
Concrete syntax definition for a DisableForkStatement.
struct slang::syntax::NamedBlockClauseSyntax
Concrete syntax definition for a NamedBlockClause.
struct slang::syntax::BlockStatementSyntax
Concrete syntax definition for a BlockStatement.
struct slang::syntax::WaitStatementSyntax
Concrete syntax definition for a WaitStatement.
struct slang::syntax::WaitForkStatementSyntax
Concrete syntax definition for a WaitForkStatement.
struct slang::syntax::WaitOrderStatementSyntax
Concrete syntax definition for a WaitOrderStatement.
struct slang::syntax::RandCaseItemSyntax
Concrete syntax definition for a RandCaseItem.
struct slang::syntax::RandCaseStatementSyntax
Concrete syntax definition for a RandCaseStatement.
struct slang::syntax::RsProdSyntax
Concrete syntax definition for a RsProd.
struct slang::syntax::RsProdItemSyntax
Concrete syntax definition for a RsProdItem.
struct slang::syntax::RsCodeBlockSyntax
Concrete syntax definition for a RsCodeBlock.
struct slang::syntax::RsElseClauseSyntax
Concrete syntax definition for a RsElseClause.
struct slang::syntax::RsIfElseSyntax
Concrete syntax definition for a RsIfElse.
struct slang::syntax::RsRepeatSyntax
Concrete syntax definition for a RsRepeat.
struct slang::syntax::RsCaseItemSyntax
Concrete syntax definition for a RsCaseItem.
struct slang::syntax::DefaultRsCaseItemSyntax
Concrete syntax definition for a DefaultRsCaseItem.
struct slang::syntax::StandardRsCaseItemSyntax
Concrete syntax definition for a StandardRsCaseItem.
struct slang::syntax::RsCaseSyntax
Concrete syntax definition for a RsCase.
struct slang::syntax::RandJoinClauseSyntax
Concrete syntax definition for a RandJoinClause.
struct slang::syntax::RsWeightClauseSyntax
Concrete syntax definition for a RsWeightClause.
struct slang::syntax::RsRuleSyntax
Concrete syntax definition for a RsRule.
struct slang::syntax::ProductionSyntax
Concrete syntax definition for a Production.
struct slang::syntax::RandSequenceStatementSyntax
Concrete syntax definition for a RandSequenceStatement.
struct slang::syntax::EventTriggerStatementSyntax
Concrete syntax definition for an EventTriggerStatement.
struct slang::syntax::PortListSyntax
Concrete syntax definition for a PortList.
struct slang::syntax::NonAnsiPortSyntax
Concrete syntax definition for a NonAnsiPort.
struct slang::syntax::PortExpressionSyntax
Concrete syntax definition for a PortExpression.
struct slang::syntax::PortReferenceSyntax
Concrete syntax definition for a PortReference.
struct slang::syntax::PortConcatenationSyntax
Concrete syntax definition for a PortConcatenation.
struct slang::syntax::EmptyNonAnsiPortSyntax
Concrete syntax definition for an EmptyNonAnsiPort.
struct slang::syntax::ImplicitNonAnsiPortSyntax
Concrete syntax definition for an ImplicitNonAnsiPort.
struct slang::syntax::ExplicitNonAnsiPortSyntax
Concrete syntax definition for an ExplicitNonAnsiPort.
struct slang::syntax::NonAnsiPortListSyntax
Concrete syntax definition for a NonAnsiPortList.
struct slang::syntax::InterfacePortHeaderSyntax
Concrete syntax definition for an InterfacePortHeader.
struct slang::syntax::VariablePortHeaderSyntax
Concrete syntax definition for a VariablePortHeader.
struct slang::syntax::NetPortHeaderSyntax
Concrete syntax definition for a NetPortHeader.
struct slang::syntax::ImplicitAnsiPortSyntax
Concrete syntax definition for an ImplicitAnsiPort.
struct slang::syntax::ExplicitAnsiPortSyntax
Concrete syntax definition for an ExplicitAnsiPort.
struct slang::syntax::AnsiPortListSyntax
Concrete syntax definition for an AnsiPortList.
struct slang::syntax::WildcardPortListSyntax
Concrete syntax definition for a WildcardPortList.
struct slang::syntax::ParameterPortListSyntax
Concrete syntax definition for a ParameterPortList.
struct slang::syntax::ModuleHeaderSyntax
Concrete syntax definition for a ModuleHeader.
struct slang::syntax::ModuleDeclarationSyntax
Concrete syntax definition for a ModuleDeclaration.
struct slang::syntax::AnonymousProgramSyntax
Concrete syntax definition for an AnonymousProgram.
struct slang::syntax::EmptyMemberSyntax
Concrete syntax definition for an EmptyMember.
struct slang::syntax::ProceduralBlockSyntax
Concrete syntax definition for a ProceduralBlock.
struct slang::syntax::GenerateRegionSyntax
Concrete syntax definition for a GenerateRegion.
struct slang::syntax::LoopGenerateSyntax
Concrete syntax definition for a LoopGenerate.
struct slang::syntax::IfGenerateSyntax
Concrete syntax definition for an IfGenerate.
struct slang::syntax::CaseGenerateSyntax
Concrete syntax definition for a CaseGenerate.
struct slang::syntax::GenerateBlockSyntax
Concrete syntax definition for a GenerateBlock.
struct slang::syntax::DividerClauseSyntax
Concrete syntax definition for a DividerClause.
struct slang::syntax::TimeUnitsDeclarationSyntax
Concrete syntax definition for a TimeUnitsDeclaration.
struct slang::syntax::PortConnectionSyntax
Concrete syntax definition for a PortConnection.
struct slang::syntax::EmptyPortConnectionSyntax
Concrete syntax definition for an EmptyPortConnection.
struct slang::syntax::OrderedPortConnectionSyntax
Concrete syntax definition for an OrderedPortConnection.
struct slang::syntax::NamedPortConnectionSyntax
Concrete syntax definition for a NamedPortConnection.
struct slang::syntax::WildcardPortConnectionSyntax
Concrete syntax definition for a WildcardPortConnection.
struct slang::syntax::InstanceNameSyntax
Concrete syntax definition for an InstanceName.
struct slang::syntax::HierarchicalInstanceSyntax
Concrete syntax definition for a HierarchicalInstance.
struct slang::syntax::HierarchyInstantiationSyntax
Concrete syntax definition for a HierarchyInstantiation.
struct slang::syntax::PrimitiveInstantiationSyntax
Concrete syntax definition for a PrimitiveInstantiation.
struct slang::syntax::CheckerInstantiationSyntax
Concrete syntax definition for a CheckerInstantiation.
struct slang::syntax::CheckerInstanceStatementSyntax
Concrete syntax definition for a CheckerInstanceStatement.
struct slang::syntax::BindTargetListSyntax
Concrete syntax definition for a BindTargetList.
struct slang::syntax::BindDirectiveSyntax
Concrete syntax definition for a BindDirective.
struct slang::syntax::ClassSpecifierSyntax
Concrete syntax definition for a ClassSpecifier.
struct slang::syntax::FunctionPrototypeSyntax
Concrete syntax definition for a FunctionPrototype.
struct slang::syntax::FunctionDeclarationSyntax
Concrete syntax definition for a FunctionDeclaration.
struct slang::syntax::EqualsAssertionArgClauseSyntax
Concrete syntax definition for an EqualsAssertionArgClause.
struct slang::syntax::AssertionItemPortSyntax
Concrete syntax definition for an AssertionItemPort.
struct slang::syntax::AssertionItemPortListSyntax
Concrete syntax definition for an AssertionItemPortList.
struct slang::syntax::LetDeclarationSyntax
Concrete syntax definition for a LetDeclaration.
struct slang::syntax::DefaultExtendsClauseArgSyntax
Concrete syntax definition for a DefaultExtendsClauseArg.
struct slang::syntax::ExtendsClauseSyntax
Concrete syntax definition for an ExtendsClause.
struct slang::syntax::ImplementsClauseSyntax
Concrete syntax definition for an ImplementsClause.
struct slang::syntax::ClassDeclarationSyntax
Concrete syntax definition for a ClassDeclaration.
struct slang::syntax::ClassPropertyDeclarationSyntax
Concrete syntax definition for a ClassPropertyDeclaration.
struct slang::syntax::ClassMethodDeclarationSyntax
Concrete syntax definition for a ClassMethodDeclaration.
struct slang::syntax::ClassMethodPrototypeSyntax
Concrete syntax definition for a ClassMethodPrototype.
struct slang::syntax::ContinuousAssignSyntax
Concrete syntax definition for a ContinuousAssign.
struct slang::syntax::DefParamAssignmentSyntax
Concrete syntax definition for a DefParamAssignment.
struct slang::syntax::DefParamSyntax
Concrete syntax definition for a DefParam.
struct slang::syntax::ModportClockingPortSyntax
Concrete syntax definition for a ModportClockingPort.
struct slang::syntax::ModportPortSyntax
Concrete syntax definition for a ModportPort.
struct slang::syntax::ModportNamedPortSyntax
Concrete syntax definition for a ModportNamedPort.
struct slang::syntax::ModportExplicitPortSyntax
Concrete syntax definition for a ModportExplicitPort.
struct slang::syntax::ModportSimplePortListSyntax
Concrete syntax definition for a ModportSimplePortList.
struct slang::syntax::ModportSubroutinePortSyntax
Concrete syntax definition for a ModportSubroutinePort.
struct slang::syntax::ModportSubroutinePortListSyntax
Concrete syntax definition for a ModportSubroutinePortList.
struct slang::syntax::ModportItemSyntax
Concrete syntax definition for a ModportItem.
struct slang::syntax::ModportDeclarationSyntax
Concrete syntax definition for a ModportDeclaration.
struct slang::syntax::ClockingSkewSyntax
Concrete syntax definition for a ClockingSkew.
struct slang::syntax::ClockingDirectionSyntax
Concrete syntax definition for a ClockingDirection.
struct slang::syntax::DefaultSkewItemSyntax
Concrete syntax definition for a DefaultSkewItem.
struct slang::syntax::ClockingItemSyntax
Concrete syntax definition for a ClockingItem.
struct slang::syntax::ClockingDeclarationSyntax
Concrete syntax definition for a ClockingDeclaration.
struct slang::syntax::DefaultClockingReferenceSyntax
Concrete syntax definition for a DefaultClockingReference.
struct slang::syntax::DefaultDisableDeclarationSyntax
Concrete syntax definition for a DefaultDisableDeclaration.
struct slang::syntax::DPIImportSyntax
Concrete syntax definition for a DPIImport.
struct slang::syntax::DPIExportSyntax
Concrete syntax definition for a DPIExport.
struct slang::syntax::ElabSystemTaskSyntax
Concrete syntax definition for an ElabSystemTask.
struct slang::syntax::UdpPortDeclSyntax
Concrete syntax definition for an UdpPortDecl.
struct slang::syntax::UdpOutputPortDeclSyntax
Concrete syntax definition for an UdpOutputPortDecl.
struct slang::syntax::UdpInputPortDeclSyntax
Concrete syntax definition for an UdpInputPortDecl.
struct slang::syntax::UdpPortListSyntax
Concrete syntax definition for an UdpPortList.
struct slang::syntax::AnsiUdpPortListSyntax
Concrete syntax definition for an AnsiUdpPortList.
struct slang::syntax::NonAnsiUdpPortListSyntax
Concrete syntax definition for a NonAnsiUdpPortList.
struct slang::syntax::WildcardUdpPortListSyntax
Concrete syntax definition for a WildcardUdpPortList.
struct slang::syntax::UdpInitialStmtSyntax
Concrete syntax definition for an UdpInitialStmt.
struct slang::syntax::UdpFieldBaseSyntax
Concrete syntax definition for an UdpFieldBase.
struct slang::syntax::UdpEdgeFieldSyntax
Concrete syntax definition for an UdpEdgeField.
struct slang::syntax::UdpSimpleFieldSyntax
Concrete syntax definition for an UdpSimpleField.
struct slang::syntax::UdpEntrySyntax
Concrete syntax definition for an UdpEntry.
struct slang::syntax::UdpBodySyntax
Concrete syntax definition for an UdpBody.
struct slang::syntax::UdpDeclarationSyntax
Concrete syntax definition for an UdpDeclaration.
struct slang::syntax::SpecparamDeclaratorSyntax
Concrete syntax definition for a SpecparamDeclarator.
struct slang::syntax::SpecparamDeclarationSyntax
Concrete syntax definition for a SpecparamDeclaration.
struct slang::syntax::PathSuffixSyntax
Concrete syntax definition for a PathSuffix.
struct slang::syntax::SimplePathSuffixSyntax
Concrete syntax definition for a SimplePathSuffix.
struct slang::syntax::EdgeSensitivePathSuffixSyntax
Concrete syntax definition for an EdgeSensitivePathSuffix.
struct slang::syntax::PathDescriptionSyntax
Concrete syntax definition for a PathDescription.
struct slang::syntax::PathDeclarationSyntax
Concrete syntax definition for a PathDeclaration.
struct slang::syntax::ConditionalPathDeclarationSyntax
Concrete syntax definition for a ConditionalPathDeclaration.
struct slang::syntax::IfNonePathDeclarationSyntax
Concrete syntax definition for an IfNonePathDeclaration.
struct slang::syntax::PulseStyleDeclarationSyntax
Concrete syntax definition for a PulseStyleDeclaration.
struct slang::syntax::TimingCheckArgSyntax
Concrete syntax definition for a TimingCheckArg.
struct slang::syntax::EmptyTimingCheckArgSyntax
Concrete syntax definition for an EmptyTimingCheckArg.
struct slang::syntax::EdgeDescriptorSyntax
Concrete syntax definition for an EdgeDescriptor.
struct slang::syntax::EdgeControlSpecifierSyntax
Concrete syntax definition for an EdgeControlSpecifier.
struct slang::syntax::TimingCheckEventConditionSyntax
Concrete syntax definition for a TimingCheckEventCondition.
struct slang::syntax::TimingCheckEventArgSyntax
Concrete syntax definition for a TimingCheckEventArg.
struct slang::syntax::ExpressionTimingCheckArgSyntax
Concrete syntax definition for an ExpressionTimingCheckArg.
struct slang::syntax::SystemTimingCheckSyntax
Concrete syntax definition for a SystemTimingCheck.
struct slang::syntax::SpecifyBlockSyntax
Concrete syntax definition for a SpecifyBlock.
struct slang::syntax::NetAliasSyntax
Concrete syntax definition for a NetAlias.
struct slang::syntax::ExternModuleDeclSyntax
Concrete syntax definition for an ExternModuleDecl.
struct slang::syntax::ExternUdpDeclSyntax
Concrete syntax definition for an ExternUdpDecl.
struct slang::syntax::ExternInterfaceMethodSyntax
Concrete syntax definition for an ExternInterfaceMethod.
struct slang::syntax::ConstraintItemSyntax
Concrete syntax definition for a ConstraintItem.
struct slang::syntax::DistWeightSyntax
Concrete syntax definition for a DistWeight.
struct slang::syntax::DistItemSyntax
Concrete syntax definition for a DistItem.
struct slang::syntax::DistConstraintListSyntax
Concrete syntax definition for a DistConstraintList.
struct slang::syntax::ExpressionOrDistSyntax
Concrete syntax definition for an ExpressionOrDist.
struct slang::syntax::ExpressionConstraintSyntax
Concrete syntax definition for an ExpressionConstraint.
struct slang::syntax::UniquenessConstraintSyntax
Concrete syntax definition for an UniquenessConstraint.
struct slang::syntax::ImplicationConstraintSyntax
Concrete syntax definition for an ImplicationConstraint.
struct slang::syntax::ElseConstraintClauseSyntax
Concrete syntax definition for an ElseConstraintClause.
struct slang::syntax::ConditionalConstraintSyntax
Concrete syntax definition for a ConditionalConstraint.
struct slang::syntax::LoopConstraintSyntax
Concrete syntax definition for a LoopConstraint.
struct slang::syntax::DisableConstraintSyntax
Concrete syntax definition for a DisableConstraint.
struct slang::syntax::SolveBeforeConstraintSyntax
Concrete syntax definition for a SolveBeforeConstraint.
struct slang::syntax::ConstraintBlockSyntax
Concrete syntax definition for a ConstraintBlock.
struct slang::syntax::ConstraintPrototypeSyntax
Concrete syntax definition for a ConstraintPrototype.
struct slang::syntax::ConstraintDeclarationSyntax
Concrete syntax definition for a ConstraintDeclaration.
struct slang::syntax::ParenExpressionListSyntax
Concrete syntax definition for a ParenExpressionList.
struct slang::syntax::ArrayOrRandomizeMethodExpressionSyntax
Concrete syntax definition for an ArrayOrRandomizeMethodExpression.
struct slang::syntax::WithFunctionSampleSyntax
Concrete syntax definition for a WithFunctionSample.
struct slang::syntax::BlockEventExpressionSyntax
Concrete syntax definition for a BlockEventExpression.
struct slang::syntax::BinaryBlockEventExpressionSyntax
Concrete syntax definition for a BinaryBlockEventExpression.
struct slang::syntax::PrimaryBlockEventExpressionSyntax
Concrete syntax definition for a PrimaryBlockEventExpression.
struct slang::syntax::BlockCoverageEventSyntax
Concrete syntax definition for a BlockCoverageEvent.
struct slang::syntax::CovergroupDeclarationSyntax
Concrete syntax definition for a CovergroupDeclaration.
struct slang::syntax::CoverageOptionSyntax
Concrete syntax definition for a CoverageOption.
struct slang::syntax::CoverageIffClauseSyntax
Concrete syntax definition for a CoverageIffClause.
struct slang::syntax::CoverpointSyntax
Concrete syntax definition for a Coverpoint.
struct slang::syntax::CoverageBinInitializerSyntax
Concrete syntax definition for a CoverageBinInitializer.
struct slang::syntax::DefaultCoverageBinInitializerSyntax
Concrete syntax definition for a DefaultCoverageBinInitializer.
struct slang::syntax::WithClauseSyntax
Concrete syntax definition for a WithClause.
struct slang::syntax::ExpressionCoverageBinInitializerSyntax
Concrete syntax definition for an ExpressionCoverageBinInitializer.
struct slang::syntax::RangeCoverageBinInitializerSyntax
Concrete syntax definition for a RangeCoverageBinInitializer.
struct slang::syntax::IdWithExprCoverageBinInitializerSyntax
Concrete syntax definition for an IdWithExprCoverageBinInitializer.
struct slang::syntax::TransRepeatRangeSyntax
Concrete syntax definition for a TransRepeatRange.
struct slang::syntax::TransRangeSyntax
Concrete syntax definition for a TransRange.
struct slang::syntax::TransSetSyntax
Concrete syntax definition for a TransSet.
struct slang::syntax::TransListCoverageBinInitializerSyntax
Concrete syntax definition for a TransListCoverageBinInitializer.
struct slang::syntax::CoverageBinsArraySizeSyntax
Concrete syntax definition for a CoverageBinsArraySize.
struct slang::syntax::CoverageBinsSyntax
Concrete syntax definition for a CoverageBins.
struct slang::syntax::CoverCrossSyntax
Concrete syntax definition for a CoverCross.
struct slang::syntax::BinsSelectExpressionSyntax
Concrete syntax definition for a BinsSelectExpression.
struct slang::syntax::IntersectClauseSyntax
Concrete syntax definition for an IntersectClause.
struct slang::syntax::BinsSelectConditionExprSyntax
Concrete syntax definition for a BinsSelectConditionExpr.
struct slang::syntax::UnaryBinsSelectExprSyntax
Concrete syntax definition for an UnaryBinsSelectExpr.
struct slang::syntax::BinaryBinsSelectExprSyntax
Concrete syntax definition for a BinaryBinsSelectExpr.
struct slang::syntax::ParenthesizedBinsSelectExprSyntax
Concrete syntax definition for a ParenthesizedBinsSelectExpr.
struct slang::syntax::SimpleBinsSelectExprSyntax
Concrete syntax definition for a SimpleBinsSelectExpr.
struct slang::syntax::BinSelectWithFilterExprSyntax
Concrete syntax definition for a BinSelectWithFilterExpr.
struct slang::syntax::BinsSelectionSyntax
Concrete syntax definition for a BinsSelection.
struct slang::syntax::SequenceRepetitionSyntax
Concrete syntax definition for a SequenceRepetition.
struct slang::syntax::SimpleSequenceExprSyntax
Concrete syntax definition for a SimpleSequenceExpr.
struct slang::syntax::SequenceMatchListSyntax
Concrete syntax definition for a SequenceMatchList.
struct slang::syntax::ParenthesizedSequenceExprSyntax
Concrete syntax definition for a ParenthesizedSequenceExpr.
struct slang::syntax::BinarySequenceExprSyntax
Concrete syntax definition for a BinarySequenceExpr.
struct slang::syntax::FirstMatchSequenceExprSyntax
Concrete syntax definition for a FirstMatchSequenceExpr.
struct slang::syntax::ClockingSequenceExprSyntax
Concrete syntax definition for a ClockingSequenceExpr.
struct slang::syntax::DelayedSequenceElementSyntax
Concrete syntax definition for a DelayedSequenceElement.
struct slang::syntax::DelayedSequenceExprSyntax
Concrete syntax definition for a DelayedSequenceExpr.
struct slang::syntax::SimplePropertyExprSyntax
Concrete syntax definition for a SimplePropertyExpr.
struct slang::syntax::ParenthesizedPropertyExprSyntax
Concrete syntax definition for a ParenthesizedPropertyExpr.
struct slang::syntax::StrongWeakPropertyExprSyntax
Concrete syntax definition for a StrongWeakPropertyExpr.
struct slang::syntax::UnaryPropertyExprSyntax
Concrete syntax definition for an UnaryPropertyExpr.
struct slang::syntax::UnarySelectPropertyExprSyntax
Concrete syntax definition for an UnarySelectPropertyExpr.
struct slang::syntax::BinaryPropertyExprSyntax
Concrete syntax definition for a BinaryPropertyExpr.
struct slang::syntax::ClockingPropertyExprSyntax
Concrete syntax definition for a ClockingPropertyExpr.
struct slang::syntax::AcceptOnPropertyExprSyntax
Concrete syntax definition for an AcceptOnPropertyExpr.
struct slang::syntax::ElsePropertyClauseSyntax
Concrete syntax definition for an ElsePropertyClause.
struct slang::syntax::ConditionalPropertyExprSyntax
Concrete syntax definition for a ConditionalPropertyExpr.
struct slang::syntax::PropertyCaseItemSyntax
Concrete syntax definition for a PropertyCaseItem.
struct slang::syntax::DefaultPropertyCaseItemSyntax
Concrete syntax definition for a DefaultPropertyCaseItem.
struct slang::syntax::StandardPropertyCaseItemSyntax
Concrete syntax definition for a StandardPropertyCaseItem.
struct slang::syntax::CasePropertyExprSyntax
Concrete syntax definition for a CasePropertyExpr.
struct slang::syntax::LocalVariableDeclarationSyntax
Concrete syntax definition for a LocalVariableDeclaration.
struct slang::syntax::PropertyDeclarationSyntax
Concrete syntax definition for a PropertyDeclaration.
struct slang::syntax::SequenceDeclarationSyntax
Concrete syntax definition for a SequenceDeclaration.
struct slang::syntax::CheckerDeclarationSyntax
Concrete syntax definition for a CheckerDeclaration.
struct slang::syntax::CheckerDataDeclarationSyntax
Concrete syntax definition for a CheckerDataDeclaration.
struct slang::syntax::CompilationUnitSyntax
Concrete syntax definition for a CompilationUnit.
struct slang::syntax::LibraryMapSyntax
Concrete syntax definition for a LibraryMap.
struct slang::syntax::DirectiveSyntax
Concrete syntax definition for a Directive.
struct slang::syntax::SimpleDirectiveSyntax
Concrete syntax definition for a SimpleDirective.
struct slang::syntax::IncludeDirectiveSyntax
Concrete syntax definition for an IncludeDirective.
struct slang::syntax::ConditionalDirectiveExpressionSyntax
Concrete syntax definition for a ConditionalDirectiveExpression.
struct slang::syntax::NamedConditionalDirectiveExpressionSyntax
Concrete syntax definition for a NamedConditionalDirectiveExpression.
struct slang::syntax::UnaryConditionalDirectiveExpressionSyntax
Concrete syntax definition for an UnaryConditionalDirectiveExpression.
struct slang::syntax::BinaryConditionalDirectiveExpressionSyntax
Concrete syntax definition for a BinaryConditionalDirectiveExpression.
struct slang::syntax::ParenthesizedConditionalDirectiveExpressionSyntax
Concrete syntax definition for a ParenthesizedConditionalDirectiveExpression.
struct slang::syntax::ConditionalBranchDirectiveSyntax
Concrete syntax definition for a ConditionalBranchDirective.
struct slang::syntax::UnconditionalBranchDirectiveSyntax
Concrete syntax definition for an UnconditionalBranchDirective.
struct slang::syntax::MacroArgumentDefaultSyntax
Concrete syntax definition for a MacroArgumentDefault.
struct slang::syntax::MacroFormalArgumentSyntax
Concrete syntax definition for a MacroFormalArgument.
struct slang::syntax::MacroFormalArgumentListSyntax
Concrete syntax definition for a MacroFormalArgumentList.
struct slang::syntax::DefineDirectiveSyntax
Concrete syntax definition for a DefineDirective.
struct slang::syntax::MacroActualArgumentSyntax
Concrete syntax definition for a MacroActualArgument.
struct slang::syntax::MacroActualArgumentListSyntax
Concrete syntax definition for a MacroActualArgumentList.
struct slang::syntax::MacroUsageSyntax
Concrete syntax definition for a MacroUsage.
struct slang::syntax::TimeScaleDirectiveSyntax
Concrete syntax definition for a TimeScaleDirective.
struct slang::syntax::DefaultNetTypeDirectiveSyntax
Concrete syntax definition for a DefaultNetTypeDirective.
struct slang::syntax::UnconnectedDriveDirectiveSyntax
Concrete syntax definition for an UnconnectedDriveDirective.
struct slang::syntax::LineDirectiveSyntax
Concrete syntax definition for a LineDirective.
struct slang::syntax::UndefDirectiveSyntax
Concrete syntax definition for an UndefDirective.
struct slang::syntax::BeginKeywordsDirectiveSyntax
Concrete syntax definition for a BeginKeywordsDirective.
struct slang::syntax::PragmaExpressionSyntax
Concrete syntax definition for a PragmaExpression.
struct slang::syntax::SimplePragmaExpressionSyntax
Concrete syntax definition for a SimplePragmaExpression.
struct slang::syntax::NameValuePragmaExpressionSyntax
Concrete syntax definition for a NameValuePragmaExpression.
struct slang::syntax::NumberPragmaExpressionSyntax
Concrete syntax definition for a NumberPragmaExpression.
struct slang::syntax::ParenPragmaExpressionSyntax
Concrete syntax definition for a ParenPragmaExpression.
struct slang::syntax::PragmaDirectiveSyntax
Concrete syntax definition for a PragmaDirective.
struct slang::syntax::ConfigCellIdentifierSyntax
Concrete syntax definition for a ConfigCellIdentifier.
struct slang::syntax::ConfigRuleClauseSyntax
Concrete syntax definition for a ConfigRuleClause.
struct slang::syntax::ConfigLiblistSyntax
Concrete syntax definition for a ConfigLiblist.
struct slang::syntax::ConfigUseClauseSyntax
Concrete syntax definition for a ConfigUseClause.
struct slang::syntax::ConfigRuleSyntax
Concrete syntax definition for a ConfigRule.
struct slang::syntax::DefaultConfigRuleSyntax
Concrete syntax definition for a DefaultConfigRule.
struct slang::syntax::ConfigInstanceIdentifierSyntax
Concrete syntax definition for a ConfigInstanceIdentifier.
struct slang::syntax::InstanceConfigRuleSyntax
Concrete syntax definition for an InstanceConfigRule.
struct slang::syntax::CellConfigRuleSyntax
Concrete syntax definition for a CellConfigRule.
struct slang::syntax::ConfigDeclarationSyntax
Concrete syntax definition for a ConfigDeclaration.
struct slang::syntax::FilePathSpecSyntax
Concrete syntax definition for a FilePathSpec.
struct slang::syntax::LibraryIncDirClauseSyntax
Concrete syntax definition for a LibraryIncDirClause.
struct slang::syntax::LibraryDeclarationSyntax
Concrete syntax definition for a LibraryDeclaration.
struct slang::syntax::LibraryIncludeStatementSyntax
Concrete syntax definition for a LibraryIncludeStatement.