slang::syntax::ExpressionSyntax struct
#include <AllSyntax.h>

Concrete syntax definition for an Expression.

Base classes

class SyntaxNode
Base class for all syntax nodes.

Derived classes

struct ArrayOrRandomizeMethodExpressionSyntax
Concrete syntax definition for an ArrayOrRandomizeMethodExpression.
struct BadExpressionSyntax
Concrete syntax definition for a BadExpression.
struct BinaryExpressionSyntax
Concrete syntax definition for a BinaryExpression.
struct CastExpressionSyntax
Concrete syntax definition for a CastExpression.
struct ConditionalExpressionSyntax
Concrete syntax definition for a ConditionalExpression.
struct CopyClassExpressionSyntax
Concrete syntax definition for a CopyClassExpression.
struct DataTypeSyntax
Concrete syntax definition for a DataType.
struct ElementSelectExpressionSyntax
Concrete syntax definition for an ElementSelectExpression.
struct ExpressionOrDistSyntax
Concrete syntax definition for an ExpressionOrDist.
struct InsideExpressionSyntax
Concrete syntax definition for an InsideExpression.
struct InvocationExpressionSyntax
Concrete syntax definition for an InvocationExpression.
struct MemberAccessExpressionSyntax
Concrete syntax definition for a MemberAccessExpression.
struct MinTypMaxExpressionSyntax
Concrete syntax definition for a MinTypMaxExpression.
struct NameSyntax
Concrete syntax definition for a Name.
struct NewArrayExpressionSyntax
Concrete syntax definition for a NewArrayExpression.
struct NewClassExpressionSyntax
Concrete syntax definition for a NewClassExpression.
struct PostfixUnaryExpressionSyntax
Concrete syntax definition for a PostfixUnaryExpression.
struct PrefixUnaryExpressionSyntax
Concrete syntax definition for a PrefixUnaryExpression.
struct PrimaryExpressionSyntax
Concrete syntax definition for a PrimaryExpression.
struct SignedCastExpressionSyntax
Concrete syntax definition for a SignedCastExpression.
struct SuperNewDefaultedArgsExpressionSyntax
Concrete syntax definition for a SuperNewDefaultedArgsExpression.
struct TaggedUnionExpressionSyntax
Concrete syntax definition for a TaggedUnionExpression.
struct TimingControlExpressionSyntax
Concrete syntax definition for a TimingControlExpression.
struct ValueRangeExpressionSyntax
Concrete syntax definition for a ValueRangeExpression.

Public static functions

static auto isKind(SyntaxKind kind) -> bool
Returns true if the provided syntax kind is represented by this type.

Constructors, destructors, conversion operators

ExpressionSyntax(SyntaxKind kind)
Constructs a new instance of the ExpressionSyntax struct.
ExpressionSyntax(const ExpressionSyntax&) defaulted explicit
Copy constructor.