slang::syntax namespace

Syntax tree manipulation.

Classes

struct AcceptOnPropertyExprSyntax
Concrete syntax definition for an AcceptOnPropertyExpr.
struct ActionBlockSyntax
Concrete syntax definition for an ActionBlock.
struct AnonymousProgramSyntax
Concrete syntax definition for an AnonymousProgram.
struct AnsiPortListSyntax
Concrete syntax definition for an AnsiPortList.
struct AnsiUdpPortListSyntax
Concrete syntax definition for an AnsiUdpPortList.
struct ArgumentListSyntax
Concrete syntax definition for an ArgumentList.
struct ArgumentSyntax
Concrete syntax definition for an Argument.
struct ArrayOrRandomizeMethodExpressionSyntax
Concrete syntax definition for an ArrayOrRandomizeMethodExpression.
struct AssertionItemPortListSyntax
Concrete syntax definition for an AssertionItemPortList.
struct AssertionItemPortSyntax
Concrete syntax definition for an AssertionItemPort.
struct AssignmentPatternExpressionSyntax
Concrete syntax definition for an AssignmentPatternExpression.
struct AssignmentPatternItemSyntax
Concrete syntax definition for an AssignmentPatternItem.
struct AssignmentPatternSyntax
Concrete syntax definition for an AssignmentPattern.
struct AttributeInstanceSyntax
Concrete syntax definition for an AttributeInstance.
struct AttributeSpecSyntax
Concrete syntax definition for an AttributeSpec.
struct BadExpressionSyntax
Concrete syntax definition for a BadExpression.
struct BeginKeywordsDirectiveSyntax
Concrete syntax definition for a BeginKeywordsDirective.
struct BinaryBinsSelectExprSyntax
Concrete syntax definition for a BinaryBinsSelectExpr.
struct BinaryBlockEventExpressionSyntax
Concrete syntax definition for a BinaryBlockEventExpression.
struct BinaryConditionalDirectiveExpressionSyntax
Concrete syntax definition for a BinaryConditionalDirectiveExpression.
struct BinaryEventExpressionSyntax
Concrete syntax definition for a BinaryEventExpression.
struct BinaryExpressionSyntax
Concrete syntax definition for a BinaryExpression.
struct BinaryPropertyExprSyntax
Concrete syntax definition for a BinaryPropertyExpr.
struct BinarySequenceExprSyntax
Concrete syntax definition for a BinarySequenceExpr.
struct BindDirectiveSyntax
Concrete syntax definition for a BindDirective.
struct BindTargetListSyntax
Concrete syntax definition for a BindTargetList.
struct BinSelectWithFilterExprSyntax
Concrete syntax definition for a BinSelectWithFilterExpr.
struct BinsSelectConditionExprSyntax
Concrete syntax definition for a BinsSelectConditionExpr.
struct BinsSelectExpressionSyntax
Concrete syntax definition for a BinsSelectExpression.
struct BinsSelectionSyntax
Concrete syntax definition for a BinsSelection.
struct BitSelectSyntax
Concrete syntax definition for a BitSelect.
struct BlockCoverageEventSyntax
Concrete syntax definition for a BlockCoverageEvent.
struct BlockEventExpressionSyntax
Concrete syntax definition for a BlockEventExpression.
struct BlockStatementSyntax
Concrete syntax definition for a BlockStatement.
struct CaseGenerateSyntax
Concrete syntax definition for a CaseGenerate.
struct CaseItemSyntax
Concrete syntax definition for a CaseItem.
struct CasePropertyExprSyntax
Concrete syntax definition for a CasePropertyExpr.
struct CaseStatementSyntax
Concrete syntax definition for a CaseStatement.
struct CastExpressionSyntax
Concrete syntax definition for a CastExpression.
struct CellConfigRuleSyntax
Concrete syntax definition for a CellConfigRule.
struct ChargeStrengthSyntax
Concrete syntax definition for a ChargeStrength.
struct CheckerDataDeclarationSyntax
Concrete syntax definition for a CheckerDataDeclaration.
struct CheckerDeclarationSyntax
Concrete syntax definition for a CheckerDeclaration.
struct CheckerInstanceStatementSyntax
Concrete syntax definition for a CheckerInstanceStatement.
struct CheckerInstantiationSyntax
Concrete syntax definition for a CheckerInstantiation.
struct ClassDeclarationSyntax
Concrete syntax definition for a ClassDeclaration.
struct ClassMethodDeclarationSyntax
Concrete syntax definition for a ClassMethodDeclaration.
struct ClassMethodPrototypeSyntax
Concrete syntax definition for a ClassMethodPrototype.
struct ClassNameSyntax
Concrete syntax definition for a ClassName.
struct ClassPropertyDeclarationSyntax
Concrete syntax definition for a ClassPropertyDeclaration.
struct ClassSpecifierSyntax
Concrete syntax definition for a ClassSpecifier.
struct ClockingDeclarationSyntax
Concrete syntax definition for a ClockingDeclaration.
struct ClockingDirectionSyntax
Concrete syntax definition for a ClockingDirection.
struct ClockingItemSyntax
Concrete syntax definition for a ClockingItem.
struct ClockingPropertyExprSyntax
Concrete syntax definition for a ClockingPropertyExpr.
struct ClockingSequenceExprSyntax
Concrete syntax definition for a ClockingSequenceExpr.
struct ClockingSkewSyntax
Concrete syntax definition for a ClockingSkew.
struct ColonExpressionClauseSyntax
Concrete syntax definition for a ColonExpressionClause.
struct CompilationUnitSyntax
Concrete syntax definition for a CompilationUnit.
struct ConcatenationExpressionSyntax
Concrete syntax definition for a ConcatenationExpression.
struct ConcurrentAssertionMemberSyntax
Concrete syntax definition for a ConcurrentAssertionMember.
struct ConcurrentAssertionStatementSyntax
Concrete syntax definition for a ConcurrentAssertionStatement.
struct ConditionalBranchDirectiveSyntax
Concrete syntax definition for a ConditionalBranchDirective.
struct ConditionalConstraintSyntax
Concrete syntax definition for a ConditionalConstraint.
struct ConditionalDirectiveExpressionSyntax
Concrete syntax definition for a ConditionalDirectiveExpression.
struct ConditionalExpressionSyntax
Concrete syntax definition for a ConditionalExpression.
struct ConditionalPathDeclarationSyntax
Concrete syntax definition for a ConditionalPathDeclaration.
struct ConditionalPatternSyntax
Concrete syntax definition for a ConditionalPattern.
struct ConditionalPredicateSyntax
Concrete syntax definition for a ConditionalPredicate.
struct ConditionalPropertyExprSyntax
Concrete syntax definition for a ConditionalPropertyExpr.
struct ConditionalStatementSyntax
Concrete syntax definition for a ConditionalStatement.
struct ConfigCellIdentifierSyntax
Concrete syntax definition for a ConfigCellIdentifier.
struct ConfigDeclarationSyntax
Concrete syntax definition for a ConfigDeclaration.
struct ConfigInstanceIdentifierSyntax
Concrete syntax definition for a ConfigInstanceIdentifier.
struct ConfigLiblistSyntax
Concrete syntax definition for a ConfigLiblist.
struct ConfigRuleClauseSyntax
Concrete syntax definition for a ConfigRuleClause.
struct ConfigRuleSyntax
Concrete syntax definition for a ConfigRule.
struct ConfigUseClauseSyntax
Concrete syntax definition for a ConfigUseClause.
struct ConstraintBlockSyntax
Concrete syntax definition for a ConstraintBlock.
struct ConstraintDeclarationSyntax
Concrete syntax definition for a ConstraintDeclaration.
struct ConstraintItemSyntax
Concrete syntax definition for a ConstraintItem.
struct ConstraintPrototypeSyntax
Concrete syntax definition for a ConstraintPrototype.
struct ConstTokenOrSyntax
A token or a constant syntax node.
struct ContinuousAssignSyntax
Concrete syntax definition for a ContinuousAssign.
struct CopyClassExpressionSyntax
Concrete syntax definition for a CopyClassExpression.
struct CoverageBinInitializerSyntax
Concrete syntax definition for a CoverageBinInitializer.
struct CoverageBinsArraySizeSyntax
Concrete syntax definition for a CoverageBinsArraySize.
struct CoverageBinsSyntax
Concrete syntax definition for a CoverageBins.
struct CoverageIffClauseSyntax
Concrete syntax definition for a CoverageIffClause.
struct CoverageOptionSyntax
Concrete syntax definition for a CoverageOption.
struct CoverCrossSyntax
Concrete syntax definition for a CoverCross.
struct CovergroupDeclarationSyntax
Concrete syntax definition for a CovergroupDeclaration.
struct CoverpointSyntax
Concrete syntax definition for a Coverpoint.
struct DataDeclarationSyntax
Concrete syntax definition for a DataDeclaration.
struct DataTypeSyntax
Concrete syntax definition for a DataType.
struct DeclaratorSyntax
Concrete syntax definition for a Declarator.
struct DefaultCaseItemSyntax
Concrete syntax definition for a DefaultCaseItem.
struct DefaultClockingReferenceSyntax
Concrete syntax definition for a DefaultClockingReference.
struct DefaultConfigRuleSyntax
Concrete syntax definition for a DefaultConfigRule.
struct DefaultCoverageBinInitializerSyntax
Concrete syntax definition for a DefaultCoverageBinInitializer.
struct DefaultDisableDeclarationSyntax
Concrete syntax definition for a DefaultDisableDeclaration.
struct DefaultExtendsClauseArgSyntax
Concrete syntax definition for a DefaultExtendsClauseArg.
struct DefaultFunctionPortSyntax
Concrete syntax definition for a DefaultFunctionPort.
struct DefaultNetTypeDirectiveSyntax
Concrete syntax definition for a DefaultNetTypeDirective.
struct DefaultPropertyCaseItemSyntax
Concrete syntax definition for a DefaultPropertyCaseItem.
struct DefaultRsCaseItemSyntax
Concrete syntax definition for a DefaultRsCaseItem.
struct DefaultSkewItemSyntax
Concrete syntax definition for a DefaultSkewItem.
struct DeferredAssertionSyntax
Concrete syntax definition for a DeferredAssertion.
class DeferredSourceRange
Represents a source range or a way to get one by materializing it from a syntax node.
struct DefineDirectiveSyntax
Concrete syntax definition for a DefineDirective.
struct DefParamAssignmentSyntax
Concrete syntax definition for a DefParamAssignment.
struct DefParamSyntax
Concrete syntax definition for a DefParam.
struct Delay3Syntax
Concrete syntax definition for a Delay3.
struct DelayedSequenceElementSyntax
Concrete syntax definition for a DelayedSequenceElement.
struct DelayedSequenceExprSyntax
Concrete syntax definition for a DelayedSequenceExpr.
struct DelaySyntax
Concrete syntax definition for a Delay.
struct DimensionSpecifierSyntax
Concrete syntax definition for a DimensionSpecifier.
struct DirectiveSyntax
Concrete syntax definition for a Directive.
struct DisableConstraintSyntax
Concrete syntax definition for a DisableConstraint.
struct DisableForkStatementSyntax
Concrete syntax definition for a DisableForkStatement.
struct DisableIffSyntax
Concrete syntax definition for a DisableIff.
struct DisableStatementSyntax
Concrete syntax definition for a DisableStatement.
struct DistConstraintListSyntax
Concrete syntax definition for a DistConstraintList.
struct DistItemSyntax
Concrete syntax definition for a DistItem.
struct DistWeightSyntax
Concrete syntax definition for a DistWeight.
struct DividerClauseSyntax
Concrete syntax definition for a DividerClause.
struct DotMemberClauseSyntax
Concrete syntax definition for a DotMemberClause.
struct DoWhileStatementSyntax
Concrete syntax definition for a DoWhileStatement.
struct DPIExportSyntax
Concrete syntax definition for a DPIExport.
struct DPIImportSyntax
Concrete syntax definition for a DPIImport.
struct DriveStrengthSyntax
Concrete syntax definition for a DriveStrength.
struct EdgeControlSpecifierSyntax
Concrete syntax definition for an EdgeControlSpecifier.
struct EdgeDescriptorSyntax
Concrete syntax definition for an EdgeDescriptor.
struct EdgeSensitivePathSuffixSyntax
Concrete syntax definition for an EdgeSensitivePathSuffix.
struct ElabSystemTaskSyntax
Concrete syntax definition for an ElabSystemTask.
struct ElementSelectExpressionSyntax
Concrete syntax definition for an ElementSelectExpression.
struct ElementSelectSyntax
Concrete syntax definition for an ElementSelect.
struct ElseClauseSyntax
Concrete syntax definition for an ElseClause.
struct ElseConstraintClauseSyntax
Concrete syntax definition for an ElseConstraintClause.
struct ElsePropertyClauseSyntax
Concrete syntax definition for an ElsePropertyClause.
struct EmptyArgumentSyntax
Concrete syntax definition for an EmptyArgument.
struct EmptyIdentifierNameSyntax
Concrete syntax definition for an EmptyIdentifierName.
struct EmptyMemberSyntax
Concrete syntax definition for an EmptyMember.
struct EmptyNonAnsiPortSyntax
Concrete syntax definition for an EmptyNonAnsiPort.
struct EmptyPortConnectionSyntax
Concrete syntax definition for an EmptyPortConnection.
struct EmptyQueueExpressionSyntax
Concrete syntax definition for an EmptyQueueExpression.
struct EmptyStatementSyntax
Concrete syntax definition for an EmptyStatement.
struct EmptyTimingCheckArgSyntax
Concrete syntax definition for an EmptyTimingCheckArg.
struct EnumTypeSyntax
Concrete syntax definition for an EnumType.
struct EqualsAssertionArgClauseSyntax
Concrete syntax definition for an EqualsAssertionArgClause.
struct EqualsTypeClauseSyntax
Concrete syntax definition for an EqualsTypeClause.
struct EqualsValueClauseSyntax
Concrete syntax definition for an EqualsValueClause.
struct EventControlSyntax
Concrete syntax definition for an EventControl.
struct EventControlWithExpressionSyntax
Concrete syntax definition for an EventControlWithExpression.
struct EventExpressionSyntax
Concrete syntax definition for an EventExpression.
struct EventTriggerStatementSyntax
Concrete syntax definition for an EventTriggerStatement.
struct ExplicitAnsiPortSyntax
Concrete syntax definition for an ExplicitAnsiPort.
struct ExplicitNonAnsiPortSyntax
Concrete syntax definition for an ExplicitNonAnsiPort.
struct ExpressionConstraintSyntax
Concrete syntax definition for an ExpressionConstraint.
struct ExpressionCoverageBinInitializerSyntax
Concrete syntax definition for an ExpressionCoverageBinInitializer.
struct ExpressionOrDistSyntax
Concrete syntax definition for an ExpressionOrDist.
struct ExpressionPatternSyntax
Concrete syntax definition for an ExpressionPattern.
struct ExpressionStatementSyntax
Concrete syntax definition for an ExpressionStatement.
struct ExpressionSyntax
Concrete syntax definition for an Expression.
struct ExpressionTimingCheckArgSyntax
Concrete syntax definition for an ExpressionTimingCheckArg.
struct ExtendsClauseSyntax
Concrete syntax definition for an ExtendsClause.
struct ExternInterfaceMethodSyntax
Concrete syntax definition for an ExternInterfaceMethod.
struct ExternModuleDeclSyntax
Concrete syntax definition for an ExternModuleDecl.
struct ExternUdpDeclSyntax
Concrete syntax definition for an ExternUdpDecl.
struct FilePathSpecSyntax
Concrete syntax definition for a FilePathSpec.
struct FirstMatchSequenceExprSyntax
Concrete syntax definition for a FirstMatchSequenceExpr.
struct ForeachLoopListSyntax
Concrete syntax definition for a ForeachLoopList.
struct ForeachLoopStatementSyntax
Concrete syntax definition for a ForeachLoopStatement.
struct ForeverStatementSyntax
Concrete syntax definition for a ForeverStatement.
struct ForLoopStatementSyntax
Concrete syntax definition for a ForLoopStatement.
struct ForVariableDeclarationSyntax
Concrete syntax definition for a ForVariableDeclaration.
struct ForwardTypedefDeclarationSyntax
Concrete syntax definition for a ForwardTypedefDeclaration.
struct ForwardTypeRestrictionSyntax
Concrete syntax definition for a ForwardTypeRestriction.
struct FunctionDeclarationSyntax
Concrete syntax definition for a FunctionDeclaration.
struct FunctionPortBaseSyntax
Concrete syntax definition for a FunctionPortBase.
struct FunctionPortListSyntax
Concrete syntax definition for a FunctionPortList.
struct FunctionPortSyntax
Concrete syntax definition for a FunctionPort.
struct FunctionPrototypeSyntax
Concrete syntax definition for a FunctionPrototype.
struct GenerateBlockSyntax
Concrete syntax definition for a GenerateBlock.
struct GenerateRegionSyntax
Concrete syntax definition for a GenerateRegion.
struct GenvarDeclarationSyntax
Concrete syntax definition for a GenvarDeclaration.
struct HierarchicalInstanceSyntax
Concrete syntax definition for a HierarchicalInstance.
struct HierarchyInstantiationSyntax
Concrete syntax definition for a HierarchyInstantiation.
struct IdentifierNameSyntax
Concrete syntax definition for an IdentifierName.
struct IdentifierSelectNameSyntax
Concrete syntax definition for an IdentifierSelectName.
struct IdWithExprCoverageBinInitializerSyntax
Concrete syntax definition for an IdWithExprCoverageBinInitializer.
struct IffEventClauseSyntax
Concrete syntax definition for an IffEventClause.
struct IfGenerateSyntax
Concrete syntax definition for an IfGenerate.
struct IfNonePathDeclarationSyntax
Concrete syntax definition for an IfNonePathDeclaration.
struct ImmediateAssertionMemberSyntax
Concrete syntax definition for an ImmediateAssertionMember.
struct ImmediateAssertionStatementSyntax
Concrete syntax definition for an ImmediateAssertionStatement.
struct ImplementsClauseSyntax
Concrete syntax definition for an ImplementsClause.
struct ImplicationConstraintSyntax
Concrete syntax definition for an ImplicationConstraint.
struct ImplicitAnsiPortSyntax
Concrete syntax definition for an ImplicitAnsiPort.
struct ImplicitEventControlSyntax
Concrete syntax definition for an ImplicitEventControl.
struct ImplicitNonAnsiPortSyntax
Concrete syntax definition for an ImplicitNonAnsiPort.
struct ImplicitTypeSyntax
Concrete syntax definition for an ImplicitType.
struct IncludeDirectiveSyntax
Concrete syntax definition for an IncludeDirective.
struct InsideExpressionSyntax
Concrete syntax definition for an InsideExpression.
struct InstanceConfigRuleSyntax
Concrete syntax definition for an InstanceConfigRule.
struct InstanceNameSyntax
Concrete syntax definition for an InstanceName.
struct IntegerTypeSyntax
Concrete syntax definition for an IntegerType.
struct IntegerVectorExpressionSyntax
Concrete syntax definition for an IntegerVectorExpression.
struct InterfacePortHeaderSyntax
Concrete syntax definition for an InterfacePortHeader.
struct IntersectClauseSyntax
Concrete syntax definition for an IntersectClause.
struct InvocationExpressionSyntax
Concrete syntax definition for an InvocationExpression.
struct JumpStatementSyntax
Concrete syntax definition for a JumpStatement.
struct KeywordNameSyntax
Concrete syntax definition for a KeywordName.
struct KeywordTypeSyntax
Concrete syntax definition for a KeywordType.
struct LetDeclarationSyntax
Concrete syntax definition for a LetDeclaration.
struct LibraryDeclarationSyntax
Concrete syntax definition for a LibraryDeclaration.
struct LibraryIncDirClauseSyntax
Concrete syntax definition for a LibraryIncDirClause.
struct LibraryIncludeStatementSyntax
Concrete syntax definition for a LibraryIncludeStatement.
struct LibraryMapSyntax
Concrete syntax definition for a LibraryMap.
struct LineDirectiveSyntax
Concrete syntax definition for a LineDirective.
struct LiteralExpressionSyntax
Concrete syntax definition for a LiteralExpression.
struct LocalVariableDeclarationSyntax
Concrete syntax definition for a LocalVariableDeclaration.
struct LoopConstraintSyntax
Concrete syntax definition for a LoopConstraint.
struct LoopGenerateSyntax
Concrete syntax definition for a LoopGenerate.
struct LoopStatementSyntax
Concrete syntax definition for a LoopStatement.
struct MacroActualArgumentListSyntax
Concrete syntax definition for a MacroActualArgumentList.
struct MacroActualArgumentSyntax
Concrete syntax definition for a MacroActualArgument.
struct MacroArgumentDefaultSyntax
Concrete syntax definition for a MacroArgumentDefault.
struct MacroFormalArgumentListSyntax
Concrete syntax definition for a MacroFormalArgumentList.
struct MacroFormalArgumentSyntax
Concrete syntax definition for a MacroFormalArgument.
struct MacroUsageSyntax
Concrete syntax definition for a MacroUsage.
struct MatchesClauseSyntax
Concrete syntax definition for a MatchesClause.
struct MemberAccessExpressionSyntax
Concrete syntax definition for a MemberAccessExpression.
struct MemberSyntax
Concrete syntax definition for a Member.
struct MinTypMaxExpressionSyntax
Concrete syntax definition for a MinTypMaxExpression.
struct ModportClockingPortSyntax
Concrete syntax definition for a ModportClockingPort.
struct ModportDeclarationSyntax
Concrete syntax definition for a ModportDeclaration.
struct ModportExplicitPortSyntax
Concrete syntax definition for a ModportExplicitPort.
struct ModportItemSyntax
Concrete syntax definition for a ModportItem.
struct ModportNamedPortSyntax
Concrete syntax definition for a ModportNamedPort.
struct ModportPortSyntax
Concrete syntax definition for a ModportPort.
struct ModportSimplePortListSyntax
Concrete syntax definition for a ModportSimplePortList.
struct ModportSubroutinePortListSyntax
Concrete syntax definition for a ModportSubroutinePortList.
struct ModportSubroutinePortSyntax
Concrete syntax definition for a ModportSubroutinePort.
struct ModuleDeclarationSyntax
Concrete syntax definition for a ModuleDeclaration.
struct ModuleHeaderSyntax
Concrete syntax definition for a ModuleHeader.
struct MultipleConcatenationExpressionSyntax
Concrete syntax definition for a MultipleConcatenationExpression.
struct NamedArgumentSyntax
Concrete syntax definition for a NamedArgument.
struct NamedBlockClauseSyntax
Concrete syntax definition for a NamedBlockClause.
struct NamedConditionalDirectiveExpressionSyntax
Concrete syntax definition for a NamedConditionalDirectiveExpression.
struct NamedLabelSyntax
Concrete syntax definition for a NamedLabel.
struct NamedParamAssignmentSyntax
Concrete syntax definition for a NamedParamAssignment.
struct NamedPortConnectionSyntax
Concrete syntax definition for a NamedPortConnection.
struct NamedStructurePatternMemberSyntax
Concrete syntax definition for a NamedStructurePatternMember.
struct NamedTypeSyntax
Concrete syntax definition for a NamedType.
struct NameSyntax
Concrete syntax definition for a Name.
struct NameValuePragmaExpressionSyntax
Concrete syntax definition for a NameValuePragmaExpression.
struct NetAliasSyntax
Concrete syntax definition for a NetAlias.
struct NetDeclarationSyntax
Concrete syntax definition for a NetDeclaration.
struct NetPortHeaderSyntax
Concrete syntax definition for a NetPortHeader.
struct NetStrengthSyntax
Concrete syntax definition for a NetStrength.
struct NetTypeDeclarationSyntax
Concrete syntax definition for a NetTypeDeclaration.
struct NewArrayExpressionSyntax
Concrete syntax definition for a NewArrayExpression.
struct NewClassExpressionSyntax
Concrete syntax definition for a NewClassExpression.
struct NonAnsiPortListSyntax
Concrete syntax definition for a NonAnsiPortList.
struct NonAnsiPortSyntax
Concrete syntax definition for a NonAnsiPort.
struct NonAnsiUdpPortListSyntax
Concrete syntax definition for a NonAnsiUdpPortList.
struct NumberPragmaExpressionSyntax
Concrete syntax definition for a NumberPragmaExpression.
struct OneStepDelaySyntax
Concrete syntax definition for an OneStepDelay.
struct OrderedArgumentSyntax
Concrete syntax definition for an OrderedArgument.
struct OrderedParamAssignmentSyntax
Concrete syntax definition for an OrderedParamAssignment.
struct OrderedPortConnectionSyntax
Concrete syntax definition for an OrderedPortConnection.
struct OrderedStructurePatternMemberSyntax
Concrete syntax definition for an OrderedStructurePatternMember.
struct PackageExportAllDeclarationSyntax
Concrete syntax definition for a PackageExportAllDeclaration.
struct PackageExportDeclarationSyntax
Concrete syntax definition for a PackageExportDeclaration.
struct PackageImportDeclarationSyntax
Concrete syntax definition for a PackageImportDeclaration.
struct PackageImportItemSyntax
Concrete syntax definition for a PackageImportItem.
struct ParamAssignmentSyntax
Concrete syntax definition for a ParamAssignment.
struct ParameterDeclarationBaseSyntax
Concrete syntax definition for a ParameterDeclarationBase.
struct ParameterDeclarationStatementSyntax
Concrete syntax definition for a ParameterDeclarationStatement.
struct ParameterDeclarationSyntax
Concrete syntax definition for a ParameterDeclaration.
struct ParameterPortListSyntax
Concrete syntax definition for a ParameterPortList.
struct ParameterValueAssignmentSyntax
Concrete syntax definition for a ParameterValueAssignment.
struct ParenExpressionListSyntax
Concrete syntax definition for a ParenExpressionList.
struct ParenPragmaExpressionSyntax
Concrete syntax definition for a ParenPragmaExpression.
struct ParenthesizedBinsSelectExprSyntax
Concrete syntax definition for a ParenthesizedBinsSelectExpr.
struct ParenthesizedConditionalDirectiveExpressionSyntax
Concrete syntax definition for a ParenthesizedConditionalDirectiveExpression.
struct ParenthesizedEventExpressionSyntax
Concrete syntax definition for a ParenthesizedEventExpression.
struct ParenthesizedExpressionSyntax
Concrete syntax definition for a ParenthesizedExpression.
struct ParenthesizedPatternSyntax
Concrete syntax definition for a ParenthesizedPattern.
struct ParenthesizedPropertyExprSyntax
Concrete syntax definition for a ParenthesizedPropertyExpr.
struct ParenthesizedSequenceExprSyntax
Concrete syntax definition for a ParenthesizedSequenceExpr.
struct PathDeclarationSyntax
Concrete syntax definition for a PathDeclaration.
struct PathDescriptionSyntax
Concrete syntax definition for a PathDescription.
struct PathSuffixSyntax
Concrete syntax definition for a PathSuffix.
struct PatternCaseItemSyntax
Concrete syntax definition for a PatternCaseItem.
struct PatternSyntax
Concrete syntax definition for a Pattern.
struct PortConcatenationSyntax
Concrete syntax definition for a PortConcatenation.
struct PortConnectionSyntax
Concrete syntax definition for a PortConnection.
struct PortDeclarationSyntax
Concrete syntax definition for a PortDeclaration.
struct PortExpressionSyntax
Concrete syntax definition for a PortExpression.
struct PortHeaderSyntax
Concrete syntax definition for a PortHeader.
struct PortListSyntax
Concrete syntax definition for a PortList.
struct PortReferenceSyntax
Concrete syntax definition for a PortReference.
struct PostfixUnaryExpressionSyntax
Concrete syntax definition for a PostfixUnaryExpression.
struct PragmaDirectiveSyntax
Concrete syntax definition for a PragmaDirective.
struct PragmaExpressionSyntax
Concrete syntax definition for a PragmaExpression.
struct PrefixUnaryExpressionSyntax
Concrete syntax definition for a PrefixUnaryExpression.
struct PrimaryBlockEventExpressionSyntax
Concrete syntax definition for a PrimaryBlockEventExpression.
struct PrimaryExpressionSyntax
Concrete syntax definition for a PrimaryExpression.
struct PrimitiveInstantiationSyntax
Concrete syntax definition for a PrimitiveInstantiation.
struct ProceduralAssignStatementSyntax
Concrete syntax definition for a ProceduralAssignStatement.
struct ProceduralBlockSyntax
Concrete syntax definition for a ProceduralBlock.
struct ProceduralDeassignStatementSyntax
Concrete syntax definition for a ProceduralDeassignStatement.
struct ProductionSyntax
Concrete syntax definition for a Production.
struct PropertyCaseItemSyntax
Concrete syntax definition for a PropertyCaseItem.
struct PropertyDeclarationSyntax
Concrete syntax definition for a PropertyDeclaration.
struct PropertyExprSyntax
Concrete syntax definition for a PropertyExpr.
struct PropertySpecSyntax
Concrete syntax definition for a PropertySpec.
struct PtrTokenOrSyntax
A token pointer or a syntax node.
struct PullStrengthSyntax
Concrete syntax definition for a PullStrength.
struct PulseStyleDeclarationSyntax
Concrete syntax definition for a PulseStyleDeclaration.
struct QueueDimensionSpecifierSyntax
Concrete syntax definition for a QueueDimensionSpecifier.
struct RandCaseItemSyntax
Concrete syntax definition for a RandCaseItem.
struct RandCaseStatementSyntax
Concrete syntax definition for a RandCaseStatement.
struct RandJoinClauseSyntax
Concrete syntax definition for a RandJoinClause.
struct RandSequenceStatementSyntax
Concrete syntax definition for a RandSequenceStatement.
struct RangeCoverageBinInitializerSyntax
Concrete syntax definition for a RangeCoverageBinInitializer.
struct RangeDimensionSpecifierSyntax
Concrete syntax definition for a RangeDimensionSpecifier.
struct RangeListSyntax
Concrete syntax definition for a RangeList.
struct RangeSelectSyntax
Concrete syntax definition for a RangeSelect.
struct RepeatedEventControlSyntax
Concrete syntax definition for a RepeatedEventControl.
struct ReplicatedAssignmentPatternSyntax
Concrete syntax definition for a ReplicatedAssignmentPattern.
struct ReturnStatementSyntax
Concrete syntax definition for a ReturnStatement.
struct RsCaseItemSyntax
Concrete syntax definition for a RsCaseItem.
struct RsCaseSyntax
Concrete syntax definition for a RsCase.
struct RsCodeBlockSyntax
Concrete syntax definition for a RsCodeBlock.
struct RsElseClauseSyntax
Concrete syntax definition for a RsElseClause.
struct RsIfElseSyntax
Concrete syntax definition for a RsIfElse.
struct RsProdItemSyntax
Concrete syntax definition for a RsProdItem.
struct RsProdSyntax
Concrete syntax definition for a RsProd.
struct RsRepeatSyntax
Concrete syntax definition for a RsRepeat.
struct RsRuleSyntax
Concrete syntax definition for a RsRule.
struct RsWeightClauseSyntax
Concrete syntax definition for a RsWeightClause.
struct ScopedNameSyntax
Concrete syntax definition for a ScopedName.
struct SelectorSyntax
Concrete syntax definition for a Selector.
template<typename T>
class SeparatedSyntaxList
A syntax node that represents a token-separated list of child syntax nodes.
struct SequenceDeclarationSyntax
Concrete syntax definition for a SequenceDeclaration.
struct SequenceExprSyntax
Concrete syntax definition for a SequenceExpr.
struct SequenceMatchListSyntax
Concrete syntax definition for a SequenceMatchList.
struct SequenceRepetitionSyntax
Concrete syntax definition for a SequenceRepetition.
struct SignalEventExpressionSyntax
Concrete syntax definition for a SignalEventExpression.
struct SignedCastExpressionSyntax
Concrete syntax definition for a SignedCastExpression.
struct SimpleAssignmentPatternSyntax
Concrete syntax definition for a SimpleAssignmentPattern.
struct SimpleBinsSelectExprSyntax
Concrete syntax definition for a SimpleBinsSelectExpr.
struct SimpleDirectiveSyntax
Concrete syntax definition for a SimpleDirective.
struct SimplePathSuffixSyntax
Concrete syntax definition for a SimplePathSuffix.
struct SimplePragmaExpressionSyntax
Concrete syntax definition for a SimplePragmaExpression.
struct SimplePropertyExprSyntax
Concrete syntax definition for a SimplePropertyExpr.
struct SimpleSequenceExprSyntax
Concrete syntax definition for a SimpleSequenceExpr.
struct SolveBeforeConstraintSyntax
Concrete syntax definition for a SolveBeforeConstraint.
struct SpecifyBlockSyntax
Concrete syntax definition for a SpecifyBlock.
struct SpecparamDeclarationSyntax
Concrete syntax definition for a SpecparamDeclaration.
struct SpecparamDeclaratorSyntax
Concrete syntax definition for a SpecparamDeclarator.
struct StandardCaseItemSyntax
Concrete syntax definition for a StandardCaseItem.
struct StandardPropertyCaseItemSyntax
Concrete syntax definition for a StandardPropertyCaseItem.
struct StandardRsCaseItemSyntax
Concrete syntax definition for a StandardRsCaseItem.
struct StatementSyntax
Concrete syntax definition for a Statement.
struct StreamExpressionSyntax
Concrete syntax definition for a StreamExpression.
struct StreamExpressionWithRangeSyntax
Concrete syntax definition for a StreamExpressionWithRange.
struct StreamingConcatenationExpressionSyntax
Concrete syntax definition for a StreamingConcatenationExpression.
struct StrongWeakPropertyExprSyntax
Concrete syntax definition for a StrongWeakPropertyExpr.
struct StructUnionMemberSyntax
Concrete syntax definition for a StructUnionMember.
struct StructUnionTypeSyntax
Concrete syntax definition for a StructUnionType.
struct StructuredAssignmentPatternSyntax
Concrete syntax definition for a StructuredAssignmentPattern.
struct StructurePatternMemberSyntax
Concrete syntax definition for a StructurePatternMember.
struct StructurePatternSyntax
Concrete syntax definition for a StructurePattern.
struct SuperNewDefaultedArgsExpressionSyntax
Concrete syntax definition for a SuperNewDefaultedArgsExpression.
class SyntaxFacts
A collection of static methods that query various facts related to tokens and syntax nodes.
template<typename T>
class SyntaxList
A syntax node that represents a list of child syntax nodes.
class SyntaxListBase
A base class for syntax nodes that represent a list of items.
class SyntaxNode
Base class for all syntax nodes.
class SyntaxPrinter
Provides support for printing tokens, trivia, or whole syntax trees back to source code.
template<typename TDerived>
class SyntaxRewriter
A helper class that assists in rewriting syntax trees – useful for automated refactoring tools.
class SyntaxTree
The SyntaxTree is the easiest way to interface with the lexer / preprocessor / parser stack.
template<typename TDerived>
class SyntaxVisitor
Use this type as a base class for syntax tree visitors.
struct SystemNameSyntax
Concrete syntax definition for a SystemName.
struct SystemTimingCheckSyntax
Concrete syntax definition for a SystemTimingCheck.
struct TaggedPatternSyntax
Concrete syntax definition for a TaggedPattern.
struct TaggedUnionExpressionSyntax
Concrete syntax definition for a TaggedUnionExpression.
struct TimeScaleDirectiveSyntax
Concrete syntax definition for a TimeScaleDirective.
struct TimeUnitsDeclarationSyntax
Concrete syntax definition for a TimeUnitsDeclaration.
struct TimingCheckArgSyntax
Concrete syntax definition for a TimingCheckArg.
struct TimingCheckEventArgSyntax
Concrete syntax definition for a TimingCheckEventArg.
struct TimingCheckEventConditionSyntax
Concrete syntax definition for a TimingCheckEventCondition.
struct TimingControlExpressionSyntax
Concrete syntax definition for a TimingControlExpression.
struct TimingControlStatementSyntax
Concrete syntax definition for a TimingControlStatement.
struct TimingControlSyntax
Concrete syntax definition for a TimingControl.
class TokenList
A syntax node that represents a list of child tokens.
struct TokenOrSyntax
A token or a syntax node.
struct TransListCoverageBinInitializerSyntax
Concrete syntax definition for a TransListCoverageBinInitializer.
struct TransRangeSyntax
Concrete syntax definition for a TransRange.
struct TransRepeatRangeSyntax
Concrete syntax definition for a TransRepeatRange.
struct TransSetSyntax
Concrete syntax definition for a TransSet.
struct TypeAssignmentSyntax
Concrete syntax definition for a TypeAssignment.
struct TypedefDeclarationSyntax
Concrete syntax definition for a TypedefDeclaration.
struct TypeParameterDeclarationSyntax
Concrete syntax definition for a TypeParameterDeclaration.
struct TypeReferenceSyntax
Concrete syntax definition for a TypeReference.
struct UdpBodySyntax
Concrete syntax definition for an UdpBody.
struct UdpDeclarationSyntax
Concrete syntax definition for an UdpDeclaration.
struct UdpEdgeFieldSyntax
Concrete syntax definition for an UdpEdgeField.
struct UdpEntrySyntax
Concrete syntax definition for an UdpEntry.
struct UdpFieldBaseSyntax
Concrete syntax definition for an UdpFieldBase.
struct UdpInitialStmtSyntax
Concrete syntax definition for an UdpInitialStmt.
struct UdpInputPortDeclSyntax
Concrete syntax definition for an UdpInputPortDecl.
struct UdpOutputPortDeclSyntax
Concrete syntax definition for an UdpOutputPortDecl.
struct UdpPortDeclSyntax
Concrete syntax definition for an UdpPortDecl.
struct UdpPortListSyntax
Concrete syntax definition for an UdpPortList.
struct UdpSimpleFieldSyntax
Concrete syntax definition for an UdpSimpleField.
struct UnaryBinsSelectExprSyntax
Concrete syntax definition for an UnaryBinsSelectExpr.
struct UnaryConditionalDirectiveExpressionSyntax
Concrete syntax definition for an UnaryConditionalDirectiveExpression.
struct UnaryPropertyExprSyntax
Concrete syntax definition for an UnaryPropertyExpr.
struct UnarySelectPropertyExprSyntax
Concrete syntax definition for an UnarySelectPropertyExpr.
struct UnconditionalBranchDirectiveSyntax
Concrete syntax definition for an UnconditionalBranchDirective.
struct UnconnectedDriveDirectiveSyntax
Concrete syntax definition for an UnconnectedDriveDirective.
struct UndefDirectiveSyntax
Concrete syntax definition for an UndefDirective.
struct UniquenessConstraintSyntax
Concrete syntax definition for an UniquenessConstraint.
struct UserDefinedNetDeclarationSyntax
Concrete syntax definition for an UserDefinedNetDeclaration.
struct ValueRangeExpressionSyntax
Concrete syntax definition for a ValueRangeExpression.
struct VariableDimensionSyntax
Concrete syntax definition for a VariableDimension.
struct VariablePatternSyntax
Concrete syntax definition for a VariablePattern.
struct VariablePortHeaderSyntax
Concrete syntax definition for a VariablePortHeader.
struct VirtualInterfaceTypeSyntax
Concrete syntax definition for a VirtualInterfaceType.
struct VoidCastedCallStatementSyntax
Concrete syntax definition for a VoidCastedCallStatement.
struct WaitForkStatementSyntax
Concrete syntax definition for a WaitForkStatement.
struct WaitOrderStatementSyntax
Concrete syntax definition for a WaitOrderStatement.
struct WaitStatementSyntax
Concrete syntax definition for a WaitStatement.
struct WildcardDimensionSpecifierSyntax
Concrete syntax definition for a WildcardDimensionSpecifier.
struct WildcardPatternSyntax
Concrete syntax definition for a WildcardPattern.
struct WildcardPortConnectionSyntax
Concrete syntax definition for a WildcardPortConnection.
struct WildcardPortListSyntax
Concrete syntax definition for a WildcardPortList.
struct WildcardUdpPortListSyntax
Concrete syntax definition for a WildcardUdpPortList.
struct WithClauseSyntax
Concrete syntax definition for a WithClause.
struct WithFunctionClauseSyntax
Concrete syntax definition for a WithFunctionClause.
struct WithFunctionSampleSyntax
Concrete syntax definition for a WithFunctionSample.

Functions

auto clone(const SyntaxNode& node, BumpAllocator& alloc) -> SyntaxNode*
Performs a shallow clone of the given syntax node.
auto deepClone(const SyntaxNode& node, BumpAllocator& alloc) -> SyntaxNode*
Performs a deep clone of the given syntax node.
template<std::derived_from<SyntaxNode> T>
auto clone(const T& node, BumpAllocator& alloc) -> T*
Performs a shallow clone of the given syntax node.
template<std::derived_from<SyntaxNode> T>
auto deepClone(const T& node, BumpAllocator& alloc) -> T*
Performs a deep clone of the given syntax node.

Function documentation

SyntaxNode* slang::syntax::clone(const SyntaxNode& node, BumpAllocator& alloc)

Performs a shallow clone of the given syntax node.

All members will be simply copied to the new instance. The instance will be allocated with the provided allocator.

SyntaxNode* slang::syntax::deepClone(const SyntaxNode& node, BumpAllocator& alloc)

Performs a deep clone of the given syntax node.

All members will be cloned recursively to create a complete new copy of the syntax tree. All cloned instances will be allocated with the provided allocator.

template<std::derived_from<SyntaxNode> T>
T* slang::syntax::clone(const T& node, BumpAllocator& alloc)

Performs a shallow clone of the given syntax node.

All members will be simply copied to the new instance. The instance will be allocated with the provided allocator.

template<std::derived_from<SyntaxNode> T>
T* slang::syntax::deepClone(const T& node, BumpAllocator& alloc)

Performs a deep clone of the given syntax node.

All members will be cloned recursively to create a complete new copy of the syntax tree. All cloned instances will be allocated with the provided allocator.